diff --git a/libs/AXI-HS-MUX b/libs/AXI-HS-MUX deleted file mode 160000 index 4d02a72..0000000 --- a/libs/AXI-HS-MUX +++ /dev/null @@ -1 +0,0 @@ -Subproject commit 4d02a72b1721addd706bf4d5b2928edd49d62872 diff --git a/libs/AXI-HS-Scheduler b/libs/AXI-HS-Scheduler deleted file mode 160000 index f98e7d5..0000000 --- a/libs/AXI-HS-Scheduler +++ /dev/null @@ -1 +0,0 @@ -Subproject commit f98e7d56f43fcbb614afa976dd5553cc987dbcc3 diff --git a/libs/OPCodes.vhd b/libs/OPCodes.vhd deleted file mode 100644 index 858ad3f..0000000 --- a/libs/OPCodes.vhd +++ /dev/null @@ -1,21 +0,0 @@ -library ieee; -use ieee.std_logic_1164.all; - -package OPCodes is - - --@ No operation OP-Code - constant K_OP_NOP : std_logic_vector(3 downto 0) := "0000"; - --@ OP-Code for new line - constant K_OP_NEWLINE : std_logic_vector(3 downto 0) := "0001"; - --@ OP-Code for set sprite ID - constant K_OP_SET_ID : std_logic_vector(3 downto 0) := "0010"; - --@ OP-Code for set X position - constant K_OP_SET_X : std_logic_vector(3 downto 0) := "0011"; - --@ OP-Code for set Y position - constant K_OP_SET_Y : std_logic_vector(3 downto 0) := "0100"; - --@ OP-Code for request sprite data for row - constant K_OP_REQ_ROW_DATA : std_logic_vector(3 downto 0) := "0101"; - --@ OP-Code for clear all - constant K_OP_CLEAR_ALL : std_logic_vector(3 downto 0) := "1111"; - -end package OPCodes; \ No newline at end of file diff --git a/libs/Pipeline-AXI-Handshake b/libs/Pipeline-AXI-Handshake deleted file mode 160000 index 3a58894..0000000 --- a/libs/Pipeline-AXI-Handshake +++ /dev/null @@ -1 +0,0 @@ -Subproject commit 3a588948a69f2b4e42d1b50bf745075a821ad3e7