From 3c641355fc6dba484a3bb44eb1821620fcbbdd52 Mon Sep 17 00:00:00 2001 From: MaxP Date: Mon, 21 Apr 2025 15:25:12 +0000 Subject: [PATCH] Introduces sprite channel processing pipeline Adds modules for sprite operations, including opcode decoding, register handling, and vertical pipeline calculations. Replaces legacy scheduler with a more modular and efficient design. Updates constraints for clock timing. Enhances sprite rendering pipeline with improved modularity and scalability. --- src/OPDecoder.vhd | 265 + src/RegisterFile.vhd | 122 + src/RomPackage.vhd | 8322 ++++++++++++++++++++++++++++++++ src/Scheduler.vhd | 144 - src/SpriteChannel.ucf | 5 + src/SpriteChannel.vhd | 232 + src/VerticalSpritePipeline.vhd | 162 + 7 files changed, 9108 insertions(+), 144 deletions(-) create mode 100644 src/OPDecoder.vhd create mode 100644 src/RegisterFile.vhd create mode 100644 src/RomPackage.vhd delete mode 100644 src/Scheduler.vhd create mode 100644 src/SpriteChannel.ucf create mode 100644 src/SpriteChannel.vhd create mode 100644 src/VerticalSpritePipeline.vhd diff --git a/src/OPDecoder.vhd b/src/OPDecoder.vhd new file mode 100644 index 0000000..4f9366f --- /dev/null +++ b/src/OPDecoder.vhd @@ -0,0 +1,265 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; +use ieee.math_real.all; +use work.OPCodes.all; + +entity OPDecoder is + generic ( + --@ Data width of the operation data. + G_OPCodeData_Width : integer := 10; + --@ Width of the sprite index (Base address) register + G_Index_Width : integer := 5; + --@ Width of the sprite offset (Line address) register + G_Offset_Width : integer := 8; + --@ Width of the X position (Row) register + G_X_Width : integer := 10; + --@ Width of the Y position (Line) register + G_Y_Width : integer := 10 + ); + port ( + --@ Clock signal; (**Rising edge** triggered) + I_CLK : in std_logic; + --@ Clock enable signal (**Active high**) + I_CE : in std_logic; + --@ Synchronous reset signal (**Active high**) + I_RST : in std_logic; + + --@ @virtualbus Operation-Write @dir in Operation Write Interface + --@ Indicates if the `OPCode` and `OPData` are valid. (**Active high**) + I_OP_Valid : in std_logic := '0'; + --@ Indicates if the decoder is ready to accept data. (**Active high**) + O_OP_Ready : out std_logic := '0'; + --@ Operation code for the sprite channel + I_OP_Code : in std_logic_vector(3 downto 0) := (others => '0'); + --@ Data to be used with the operation code. + I_OP_Data : in std_logic_vector(G_OPCodeData_Width - 1 downto 0) := (others => '0'); + --@ @end + + --@ @virtualbus Register-Write @dir out Bus to write to the register file + --@ Write enable for the sprite index register; (**Active high**) + O_Register_Index_WE : out std_logic := '0'; + --@ Data to write to the sprite index (Base address) register. + O_Register_Index : out std_logic_vector(G_Index_Width - 1 downto 0) := (others => '0'); + --@ Write enable for the sprite offset (line) register; (**Active high**) + O_Register_Offset_WE : out std_logic := '0'; + --@ Data to write to the sprite offset (Line address) register. + O_Register_Offset : out std_logic_vector(G_Offset_Width - 1 downto 0) := (others => '0'); + --@ Write enable for the X position register. (**Active high**) + O_Register_X_We : out std_logic := '0'; + --@ Data to write to the X position register (Row) of the sprite. + O_Register_X : out std_logic_vector(G_X_Width - 1 downto 0) := (others => '0'); + --@ Write enable for the Y position register. (**Active high**) + O_Register_Y_WE : out std_logic := '0'; + --@ Data to write to the Y position register (Line) of the sprite. + O_Register_Y : out std_logic_vector(G_Y_Width - 1 downto 0) := (others => '0'); + --@ Write enable for the `IsVisible` flag. (**Active high**) + O_IsVisible_WE : out std_logic := '0'; + --@ Flag to write to the `IsVisible` flag. + O_IsVisible : out std_logic := '0'; + --@ @end + + --@ @virtualbus Register-Read @dir In Parallel read interface to the register file + --@ Indicates if the sprite is in the line visible. + I_IsVisible : in std_logic := '0'; + --@ @end + + --@ @virtualbus YHitCheck-Input-Interface @dir in YHitCheck Input Interface + --@ Indicates if the pipeline is ready to accept data. **Active high** + I_YHitCheck_Ready : in std_logic := '0'; + --@ Indicates if the pipeline is valid. **Active high** + O_YHitCheck_Valid : out std_logic := '0'; + --@ The line to check if the sprite is in the line visible. + O_YHitCheck_YToCheck : out std_logic_vector(G_Y_Width - 1 downto 0) := (others => '0'); + --@ @end + + --@ @virtualbus YHitCheck-Output-Interface @dir out YHitCheck Output Interface + --@ Indicates if the pipeline is ready to deliver data. **Active high** + O_YHitCheck_Ready : out std_logic := '0'; + --@ Indicates if `O_IsVisible` is valid. **Active high** + I_YHitCheck_Valid : in std_logic := '0'; + --@ Indicates if the sprite is visible in the line. + I_YHitCheck_IsVisible : in std_logic := '0'; + --@ The calculated offset address of the sprite. + I_YHitCheck_Offset : in std_logic_vector(G_Offset_Width - 1 downto 0) := (others => '0'); + --@ @end + + --@ @virtualbus HorizontalSpritePipeline-Interface @dir Out Interface to the horizontal sprite pipeline + --@ AXI like valid; (**Synchronous**, **Active high**) + O_HSpritePipeline_Valid : out std_logic := '0'; + --@ AXI like ready; (**Synchronous**, **Active high**) + I_HSpritePipeline_Ready : in std_logic := '0'; + --@ Requested X position of the sprite in the line. + O_HSpritePipeline_X_Request : out std_logic_vector(G_X_Width - 1 downto 0) := (others => '0') + --@ @end + ); +end OPDecoder; + +architecture RTL of OPDecoder is + signal C_OP_Ready : std_logic := '0'; + + type T_State is ( + S_Ready, + S_Dispatch_YHitCheck, + S_WaitResponse_YHitCheck, + S_Dispatch_CalcPipeline + ); + signal R_State : T_State := S_Ready; + signal C_NextState : T_State := S_Ready; + + signal C_OP_Data_WE : std_logic := '0'; + signal R_OP_Data : std_logic_vector(G_OPCodeData_Width - 1 downto 0) := (others => '0'); +begin + O_OP_Ready <= C_OP_Ready; + + P_RegisterNewLineReq : process (I_CLK) + begin + if rising_edge(I_CLK) then + if I_CE = '1' then + if I_RST = '1' then + R_OP_Data <= (others => '0'); + elsif C_OP_Data_WE = '1' then + R_OP_Data <= I_OP_Data; + end if; + end if; + end if; + end process; + + P_StateForwarding : process (I_CLK) + begin + if rising_edge(I_CLK) then + if I_CE = '1' then + if I_RST = '1' then + R_State <= S_Ready; + else + R_State <= C_NextState; + end if; + end if; + end if; + end process; + + P_StateMachine : process ( + R_State, I_OP_Code, R_OP_Data, I_OP_Valid, + I_YHitCheck_Ready, I_YHitCheck_Valid, I_YHitCheck_IsVisible, I_YHitCheck_Offset, + I_HSpritePipeline_Ready, I_OP_Data, I_IsVisible + ) + begin + -- Default the next state to the current state + C_NextState <= R_State; + + -- Default the output signals to prevent latches + O_Register_Index_WE <= '0'; + O_Register_Index <= (others => '0'); + O_Register_X_We <= '0'; + O_Register_X <= (others => '0'); + O_Register_Y_WE <= '0'; + O_Register_Y <= (others => '0'); + O_Register_Offset_WE <= '0'; + O_Register_Offset <= (others => '0'); + O_IsVisible_WE <= '0'; + O_IsVisible <= '0'; + O_YHitCheck_Valid <= '0'; + O_YHitCheck_Ready <= '0'; + O_YHitCheck_YToCheck <= (others => '0'); + C_OP_Ready <= '0'; + O_HSpritePipeline_Valid <= '0'; + O_HSpritePipeline_X_Request <= (others => '0'); + C_OP_Data_WE <= '0'; + + -- State Machine + case R_State is + when S_Ready => + C_OP_Ready <= '1'; + C_OP_Data_WE <= '1'; + + if I_OP_Valid = '1' then + case I_OP_Code is + when K_OP_NOP => + C_NextState <= S_Ready; + + when K_OP_NEWLINE => + O_IsVisible_WE <= '0'; + O_IsVisible <= '0'; + + C_NextState <= S_Dispatch_YHitCheck; + + when K_OP_SET_ID => + O_Register_Index_WE <= '1'; + O_Register_Index <= I_OP_Data(G_Index_Width - 1 downto 0); + + C_NextState <= S_Ready; + + when K_OP_SET_X => + O_Register_X_We <= '1'; + O_Register_X <= I_OP_Data(G_X_Width - 1 downto 0); + + C_NextState <= S_Ready; + + when K_OP_SET_Y => + O_Register_Y_WE <= '1'; + O_Register_Y <= I_OP_Data(G_Y_Width - 1 downto 0); + + C_NextState <= S_Ready; + + when K_OP_REQ_ROW_DATA => + if I_IsVisible = '1' then + C_NextState <= S_Dispatch_CalcPipeline; + end if; + + when K_OP_CLEAR_ALL => + O_Register_Index_WE <= '1'; + O_Register_Index <= (others => '0'); + -- + O_Register_X_We <= '1'; + O_Register_X <= (others => '0'); + -- + O_Register_Y_WE <= '1'; + O_Register_Y <= (others => '0'); + -- + O_Register_Offset_WE <= '1'; + O_Register_Offset <= (others => '0'); + -- + O_IsVisible_WE <= '1'; + O_IsVisible <= '0'; + + C_NextState <= S_Ready; + + when others => + C_NextState <= S_Ready; + + end case; + end if; + + when S_Dispatch_YHitCheck => + O_YHitCheck_Valid <= '1'; + O_YHitCheck_YToCheck <= R_OP_Data(G_Y_Width - 1 downto 0); + + if I_YHitCheck_Ready = '1' then + C_NextState <= S_WaitResponse_YHitCheck; + end if; + + when S_WaitResponse_YHitCheck => + O_YHitCheck_Ready <= '1'; + + if I_YHitCheck_Valid = '1' then + O_IsVisible_WE <= '1'; + O_IsVisible <= I_YHitCheck_IsVisible; + + O_Register_Offset_WE <= '1'; + O_Register_Offset <= I_YHitCheck_Offset; + + C_NextState <= S_Ready; + + end if; + + when S_Dispatch_CalcPipeline => + O_HSpritePipeline_Valid <= '1'; + O_HSpritePipeline_X_Request <= R_OP_Data(G_X_Width - 1 downto 0); + + if I_HSpritePipeline_Ready = '1' then + C_NextState <= S_Ready; + end if; + end case; + end process; + +end architecture; diff --git a/src/RegisterFile.vhd b/src/RegisterFile.vhd new file mode 100644 index 0000000..7043165 --- /dev/null +++ b/src/RegisterFile.vhd @@ -0,0 +1,122 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; +use ieee.math_real.all; + +entity RegisterFile is + generic ( + --@ Width of the sprite index (Base address) register + G_Index_Width : integer := 5; + --@ Width of the sprite offset (Line address) register + G_Offset_Width : integer := 8; + --@ Width of the X position (Row) register + G_X_Width : integer := 10; + --@ Width of the Y position (Line) register + G_Y_Width : integer := 10 + ); + port ( + --@ Clock signal; (**Rising edge** triggered) + I_CLK : in std_logic; + --@ Clock enable signal (**Active high**) + I_CE : in std_logic := '1'; + --@ Synchronous reset signal (**Active high**) + I_RST : in std_logic := '0'; + + --@ @virtualbus Register-Write @dir in Bus to write to the register file + --@ Write enable for the sprite index register; (**Active high**) + I_Index_WE : in std_logic := '0'; + --@ Data to write to the sprite index (Base address) register. + I_Index : in std_logic_vector(G_Index_Width - 1 downto 0) := (others => '0'); + --@ Write enable for the sprite offset (line) register; (**Active high**) + I_Offset_WE : in std_logic := '0'; + --@ Data to write to the sprite offset (Line address) register. + I_Offset : in std_logic_vector(G_Offset_Width - 1 downto 0) := (others => '0'); + --@ Write enable for the X position register. (**Active high**) + I_X_We : in std_logic := '0'; + --@ Data to write to the X position register (Row) of the sprite. + I_X : in std_logic_vector(G_X_Width - 1 downto 0) := (others => '0'); + --@ Write enable for the Y position register. (**Active high**) + I_Y_WE : in std_logic := '0'; + --@ Data to write to the Y position register (Line) of the sprite. + I_Y : in std_logic_vector(G_Y_Width - 1 downto 0) := (others => '0'); + --@ Write enable for the `IsVisible` flag. (**Active high**) + I_IsVisible_WE : in std_logic := '0'; + --@ Flag to write to the `IsVisible` flag. + I_IsVisible : in std_logic := '0'; + + --@ @virtualbus Register-Read @dir out Bus to read from the register file + --@ Sprite index (Base address) of the sprite. + O_Index : out std_logic_vector(G_Index_Width - 1 downto 0) := (others => '0'); + --@ Sprite offset (Line address) of the sprite. + O_Offset : out std_logic_vector(G_Offset_Width - 1 downto 0) := (others => '0'); + --@ X position of the sprite. + O_X : out std_logic_vector(G_X_Width - 1 downto 0) := (others => '0'); + --@ Y position of the sprite. + O_Y : out std_logic_vector(G_Y_Width - 1 downto 0) := (others => '0'); + --@ Flag to indicate if the sprite line is valid; (**Active high**) + O_IsVisible : out std_logic := '0' + --@ @end + ); +end entity; + +architecture RTL of RegisterFile is + --@ Register for the sprite index (Base address). + signal R_Index : std_logic_vector(G_Index_Width - 1 downto 0) := (others => '0'); + --@ Register for the sprite offset (Line address). + signal R_Offset : std_logic_vector(G_Offset_Width - 1 downto 0) := (others => '0'); + --@ Register for the X position (Row) of the sprite. + signal R_X : std_logic_vector(G_X_Width - 1 downto 0) := (others => '0'); + --@ Register for the Y position (Line) of the sprite. + signal R_Y : std_logic_vector(G_Y_Width - 1 downto 0) := (others => '0'); + --@ Register for the `IsVisible` flag. + signal R_IsVisible : std_logic := '0'; +begin + + --@ Register file process + P_RegisterFile : process (I_CLK) + begin + if rising_edge(I_CLK) then + if I_RST = '1' then + R_Index <= (others => '0'); + R_Offset <= (others => '0'); + R_X <= (others => '0'); + R_Y <= (others => '0'); + R_IsVisible <= '0'; + elsif I_CE = '1' then + if I_Index_WE = '1' then + R_Index <= I_Index; + end if; + + if I_Offset_WE = '1' then + R_Offset <= I_Offset; + end if; + + if I_X_We = '1' then + R_X <= I_X; + end if; + + if I_Y_WE = '1' then + R_Y <= I_Y; + end if; + + if I_IsVisible_WE = '1' then + R_IsVisible <= I_IsVisible; + end if; + end if; + end if; + end process; + + --@ Forwarding the register values to the output + P_Forwarding : process ( + R_Index, R_Offset, R_X, R_Y, + R_IsVisible + ) + begin + O_Index <= R_Index; + O_Offset <= R_Offset; + O_X <= R_X; + O_Y <= R_Y; + O_IsVisible <= R_IsVisible; + end process; + +end architecture; diff --git a/src/RomPackage.vhd b/src/RomPackage.vhd new file mode 100644 index 0000000..ede10e6 --- /dev/null +++ b/src/RomPackage.vhd @@ -0,0 +1,8322 @@ +-- Auto-generierte ROM-Inhalte +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +package SpriteRom is + + -- Basis-Adressen der Sprites + constant K_SPRITE_0_BASE : integer := 0; + constant K_SPRITE_1_BASE : integer := 256; + constant K_SPRITE_2_BASE : integer := 512; + constant K_SPRITE_3_BASE : integer := 768; + constant K_SPRITE_4_BASE : integer := 1024; + constant K_SPRITE_5_BASE : integer := 1280; + constant K_SPRITE_6_BASE : integer := 1536; + constant K_SPRITE_7_BASE : integer := 1792; + constant K_SPRITE_8_BASE : integer := 2048; + constant K_SPRITE_9_BASE : integer := 2304; + constant K_SPRITE_10_BASE : integer := 2560; + constant K_SPRITE_11_BASE : integer := 2816; + constant K_SPRITE_12_BASE : integer := 3072; + constant K_SPRITE_13_BASE : integer := 3328; + constant K_SPRITE_14_BASE : integer := 3584; + constant K_SPRITE_15_BASE : integer := 3840; + constant K_SPRITE_16_BASE : integer := 4096; + constant K_SPRITE_17_BASE : integer := 4352; + constant K_SPRITE_18_BASE : integer := 4608; + constant K_SPRITE_19_BASE : integer := 4864; + constant K_SPRITE_20_BASE : integer := 5120; + constant K_SPRITE_21_BASE : integer := 5376; + constant K_SPRITE_22_BASE : integer := 5632; + constant K_SPRITE_23_BASE : integer := 5888; + constant K_SPRITE_24_BASE : integer := 6144; + constant K_SPRITE_25_BASE : integer := 6400; + constant K_SPRITE_26_BASE : integer := 6656; + constant K_SPRITE_27_BASE : integer := 6912; + constant K_SPRITE_28_BASE : integer := 7168; + constant K_SPRITE_29_BASE : integer := 7424; + constant K_SPRITE_30_BASE : integer := 7680; + constant K_SPRITE_31_BASE : integer := 7936; + + -- Zeilenoffsets innerhalb eines Sprites + constant K_SPRITE_ROW_0 : integer := 0; + constant K_SPRITE_ROW_1 : integer := 16; + constant K_SPRITE_ROW_2 : integer := 32; + constant K_SPRITE_ROW_3 : integer := 48; + constant K_SPRITE_ROW_4 : integer := 64; + constant K_SPRITE_ROW_5 : integer := 80; + constant K_SPRITE_ROW_6 : integer := 96; + constant K_SPRITE_ROW_7 : integer := 112; + constant K_SPRITE_ROW_8 : integer := 128; + constant K_SPRITE_ROW_9 : integer := 144; + constant K_SPRITE_ROW_10 : integer := 160; + constant K_SPRITE_ROW_11 : integer := 176; + constant K_SPRITE_ROW_12 : integer := 192; + constant K_SPRITE_ROW_13 : integer := 208; + constant K_SPRITE_ROW_14 : integer := 224; + constant K_SPRITE_ROW_15 : integer := 240; + + type T_ROW_OFFSETS is array (0 to 15) of integer; + constant K_SPRITE_ROW_OFFSETS : T_ROW_OFFSETS := (0, 16, 32, 48, 64, 80, 96, 112, 128, 144, 160, 176, 192, 208, 224, 240); + + type T_Rom is array (0 to 8191) of std_logic_vector(7 downto 0); + constant K_SPRITE_ROM : T_Rom := ( + + -- Sprite: 0, Basis-Adresse: 0 + x"FF", -- Addr 0, Offset 0 + x"1C", -- Addr 1, Offset 1 + x"1C", -- Addr 2, Offset 2 + x"1C", -- Addr 3, Offset 3 + x"0B", -- Addr 4, Offset 4 + x"07", -- Addr 5, Offset 5 + x"07", -- Addr 6, Offset 6 + x"07", -- Addr 7, Offset 7 + x"07", -- Addr 8, Offset 8 + x"07", -- Addr 9, Offset 9 + x"C5", -- Addr 10, Offset 10 + x"E0", -- Addr 11, Offset 11 + x"E0", -- Addr 12, Offset 12 + x"E0", -- Addr 13, Offset 13 + x"E0", -- Addr 14, Offset 14 + x"E9", -- Addr 15, Offset 15 + x"FF", -- Addr 16, Offset 16 + x"FF", -- Addr 17, Offset 17 + x"FF", -- Addr 18, Offset 18 + x"FF", -- Addr 19, Offset 19 + x"FF", -- Addr 20, Offset 20 + x"FF", -- Addr 21, Offset 21 + x"FF", -- Addr 22, Offset 22 + x"FF", -- Addr 23, Offset 23 + x"FF", -- Addr 24, Offset 24 + x"FF", -- Addr 25, Offset 25 + x"FF", -- Addr 26, Offset 26 + x"FF", -- Addr 27, Offset 27 + x"FF", -- Addr 28, Offset 28 + x"FF", -- Addr 29, Offset 29 + x"FF", -- Addr 30, Offset 30 + x"FF", -- Addr 31, Offset 31 + x"FF", -- Addr 32, Offset 32 + x"FF", -- Addr 33, Offset 33 + x"FF", -- Addr 34, Offset 34 + x"FF", -- Addr 35, Offset 35 + x"F2", -- Addr 36, Offset 36 + x"F2", -- Addr 37, Offset 37 + x"FF", -- Addr 38, Offset 38 + x"FF", -- Addr 39, Offset 39 + x"FF", -- Addr 40, Offset 40 + x"FF", -- Addr 41, Offset 41 + x"FF", -- Addr 42, Offset 42 + x"FF", -- Addr 43, Offset 43 + x"FF", -- Addr 44, Offset 44 + x"FF", -- Addr 45, Offset 45 + x"FF", -- Addr 46, Offset 46 + x"FF", -- Addr 47, Offset 47 + x"FF", -- Addr 48, Offset 48 + x"FF", -- Addr 49, Offset 49 + x"FF", -- Addr 50, Offset 50 + x"F6", -- Addr 51, Offset 51 + x"E0", -- Addr 52, Offset 52 + x"E4", -- Addr 53, Offset 53 + x"FB", -- Addr 54, Offset 54 + x"FF", -- Addr 55, Offset 55 + x"FF", -- Addr 56, Offset 56 + x"FF", -- Addr 57, Offset 57 + x"FF", -- Addr 58, Offset 58 + x"FF", -- Addr 59, Offset 59 + x"FF", -- Addr 60, Offset 60 + x"FF", -- Addr 61, Offset 61 + x"FF", -- Addr 62, Offset 62 + x"FF", -- Addr 63, Offset 63 + x"FF", -- Addr 64, Offset 64 + x"FF", -- Addr 65, Offset 65 + x"FB", -- Addr 66, Offset 66 + x"E0", -- Addr 67, Offset 67 + x"E0", -- Addr 68, Offset 68 + x"E5", -- Addr 69, Offset 69 + x"FB", -- Addr 70, Offset 70 + x"FF", -- Addr 71, Offset 71 + x"93", -- Addr 72, Offset 72 + x"DB", -- Addr 73, Offset 73 + x"FF", -- Addr 74, Offset 74 + x"FF", -- Addr 75, Offset 75 + x"FF", -- Addr 76, Offset 76 + x"FF", -- Addr 77, Offset 77 + x"FF", -- Addr 78, Offset 78 + x"FF", -- Addr 79, Offset 79 + x"FF", -- Addr 80, Offset 80 + x"FF", -- Addr 81, Offset 81 + x"E9", -- Addr 82, Offset 82 + x"E0", -- Addr 83, Offset 83 + x"E4", -- Addr 84, Offset 84 + x"F6", -- Addr 85, Offset 85 + x"FF", -- Addr 86, Offset 86 + x"93", -- Addr 87, Offset 87 + x"07", -- Addr 88, Offset 88 + x"4F", -- Addr 89, Offset 89 + x"FF", -- Addr 90, Offset 90 + x"FF", -- Addr 91, Offset 91 + x"FF", -- Addr 92, Offset 92 + x"FF", -- Addr 93, Offset 93 + x"FF", -- Addr 94, Offset 94 + x"FF", -- Addr 95, Offset 95 + x"FF", -- Addr 96, Offset 96 + x"ED", -- Addr 97, Offset 97 + x"E0", -- Addr 98, Offset 98 + x"E0", -- Addr 99, Offset 99 + x"F2", -- Addr 100, Offset 100 + x"FF", -- Addr 101, Offset 101 + x"DB", -- Addr 102, Offset 102 + x"2B", -- Addr 103, Offset 103 + x"07", -- Addr 104, Offset 104 + x"2B", -- Addr 105, Offset 105 + x"FF", -- Addr 106, Offset 106 + x"FF", -- Addr 107, Offset 107 + x"FF", -- Addr 108, Offset 108 + x"BE", -- Addr 109, Offset 109 + x"BE", -- Addr 110, Offset 110 + x"FF", -- Addr 111, Offset 111 + x"FA", -- Addr 112, Offset 112 + x"E4", -- Addr 113, Offset 113 + x"E0", -- Addr 114, Offset 114 + x"E9", -- Addr 115, Offset 115 + x"FB", -- Addr 116, Offset 116 + x"DB", -- Addr 117, Offset 117 + x"4F", -- Addr 118, Offset 118 + x"07", -- Addr 119, Offset 119 + x"07", -- Addr 120, Offset 120 + x"B7", -- Addr 121, Offset 121 + x"FF", -- Addr 122, Offset 122 + x"FF", -- Addr 123, Offset 123 + x"DE", -- Addr 124, Offset 124 + x"5C", -- Addr 125, Offset 125 + x"5C", -- Addr 126, Offset 126 + x"DE", -- Addr 127, Offset 127 + x"FF", -- Addr 128, Offset 128 + x"ED", -- Addr 129, Offset 129 + x"E9", -- Addr 130, Offset 130 + x"FB", -- Addr 131, Offset 131 + x"FF", -- Addr 132, Offset 132 + x"73", -- Addr 133, Offset 133 + x"07", -- Addr 134, Offset 134 + x"2B", -- Addr 135, Offset 135 + x"97", -- Addr 136, Offset 136 + x"FF", -- Addr 137, Offset 137 + x"FF", -- Addr 138, Offset 138 + x"FF", -- Addr 139, Offset 139 + x"7D", -- Addr 140, Offset 140 + x"5C", -- Addr 141, Offset 141 + x"5C", -- Addr 142, Offset 142 + x"DE", -- Addr 143, Offset 143 + x"FF", -- Addr 144, Offset 144 + x"FF", -- Addr 145, Offset 145 + x"FF", -- Addr 146, Offset 146 + x"FF", -- Addr 147, Offset 147 + x"DB", -- Addr 148, Offset 148 + x"4F", -- Addr 149, Offset 149 + x"2B", -- Addr 150, Offset 150 + x"DB", -- Addr 151, Offset 151 + x"FF", -- Addr 152, Offset 152 + x"FF", -- Addr 153, Offset 153 + x"FF", -- Addr 154, Offset 154 + x"BE", -- Addr 155, Offset 155 + x"5C", -- Addr 156, Offset 156 + x"5C", -- Addr 157, Offset 157 + x"9D", -- Addr 158, Offset 158 + x"FF", -- Addr 159, Offset 159 + x"FF", -- Addr 160, Offset 160 + x"FF", -- Addr 161, Offset 161 + x"FF", -- Addr 162, Offset 162 + x"FF", -- Addr 163, Offset 163 + x"93", -- Addr 164, Offset 164 + x"07", -- Addr 165, Offset 165 + x"4F", -- Addr 166, Offset 166 + x"FF", -- Addr 167, Offset 167 + x"FF", -- Addr 168, Offset 168 + x"FF", -- Addr 169, Offset 169 + x"BE", -- Addr 170, Offset 170 + x"7C", -- Addr 171, Offset 171 + x"5C", -- Addr 172, Offset 172 + x"9D", -- Addr 173, Offset 173 + x"FF", -- Addr 174, Offset 174 + x"FF", -- Addr 175, Offset 175 + x"FF", -- Addr 176, Offset 176 + x"FF", -- Addr 177, Offset 177 + x"FF", -- Addr 178, Offset 178 + x"B7", -- Addr 179, Offset 179 + x"07", -- Addr 180, Offset 180 + x"07", -- Addr 181, Offset 181 + x"DB", -- Addr 182, Offset 182 + x"FF", -- Addr 183, Offset 183 + x"FF", -- Addr 184, Offset 184 + x"DE", -- Addr 185, Offset 185 + x"5C", -- Addr 186, Offset 186 + x"5C", -- Addr 187, Offset 187 + x"7D", -- Addr 188, Offset 188 + x"FF", -- Addr 189, Offset 189 + x"FF", -- Addr 190, Offset 190 + x"FF", -- Addr 191, Offset 191 + x"FF", -- Addr 192, Offset 192 + x"FF", -- Addr 193, Offset 193 + x"FF", -- Addr 194, Offset 194 + x"2B", -- Addr 195, Offset 195 + x"07", -- Addr 196, Offset 196 + x"93", -- Addr 197, Offset 197 + x"FF", -- Addr 198, Offset 198 + x"FF", -- Addr 199, Offset 199 + x"DF", -- Addr 200, Offset 200 + x"7C", -- Addr 201, Offset 201 + x"5C", -- Addr 202, Offset 202 + x"9D", -- Addr 203, Offset 203 + x"FF", -- Addr 204, Offset 204 + x"FF", -- Addr 205, Offset 205 + x"FF", -- Addr 206, Offset 206 + x"FF", -- Addr 207, Offset 207 + x"FF", -- Addr 208, Offset 208 + x"FF", -- Addr 209, Offset 209 + x"FF", -- Addr 210, Offset 210 + x"B7", -- Addr 211, Offset 211 + x"73", -- Addr 212, Offset 212 + x"FF", -- Addr 213, Offset 213 + x"FF", -- Addr 214, Offset 214 + x"FF", -- Addr 215, Offset 215 + x"9D", -- Addr 216, Offset 216 + x"5C", -- Addr 217, Offset 217 + x"9D", -- Addr 218, Offset 218 + x"FF", -- Addr 219, Offset 219 + x"FF", -- Addr 220, Offset 220 + x"FF", -- Addr 221, Offset 221 + x"FF", -- Addr 222, Offset 222 + x"FF", -- Addr 223, Offset 223 + x"FF", -- Addr 224, Offset 224 + x"FF", -- Addr 225, Offset 225 + x"FF", -- Addr 226, Offset 226 + x"FF", -- Addr 227, Offset 227 + x"FF", -- Addr 228, Offset 228 + x"FF", -- Addr 229, Offset 229 + x"FF", -- Addr 230, Offset 230 + x"FF", -- Addr 231, Offset 231 + x"DF", -- Addr 232, Offset 232 + x"9D", -- Addr 233, Offset 233 + x"DF", -- Addr 234, Offset 234 + x"FF", -- Addr 235, Offset 235 + x"FF", -- Addr 236, Offset 236 + x"FF", -- Addr 237, Offset 237 + x"FF", -- Addr 238, Offset 238 + x"FF", -- Addr 239, Offset 239 + x"FF", -- Addr 240, Offset 240 + x"FF", -- Addr 241, Offset 241 + x"FF", -- Addr 242, Offset 242 + x"FF", -- Addr 243, Offset 243 + x"FF", -- Addr 244, Offset 244 + x"FF", -- Addr 245, Offset 245 + x"FF", -- Addr 246, Offset 246 + x"FF", -- Addr 247, Offset 247 + x"FF", -- Addr 248, Offset 248 + x"FF", -- Addr 249, Offset 249 + x"FF", -- Addr 250, Offset 250 + x"FF", -- Addr 251, Offset 251 + x"FF", -- Addr 252, Offset 252 + x"FF", -- Addr 253, Offset 253 + x"FF", -- Addr 254, Offset 254 + x"FF", -- Addr 255, Offset 255 + + -- Sprite: 1, Basis-Adresse: 256 + x"FF", -- Addr 256, Offset 0 + x"FF", -- Addr 257, Offset 1 + x"FF", -- Addr 258, Offset 2 + x"FF", -- Addr 259, Offset 3 + x"FF", -- Addr 260, Offset 4 + x"FF", -- Addr 261, Offset 5 + x"FF", -- Addr 262, Offset 6 + x"FF", -- Addr 263, Offset 7 + x"FF", -- Addr 264, Offset 8 + x"FF", -- Addr 265, Offset 9 + x"FF", -- Addr 266, Offset 10 + x"FF", -- Addr 267, Offset 11 + x"FF", -- Addr 268, Offset 12 + x"FF", -- Addr 269, Offset 13 + x"FF", -- Addr 270, Offset 14 + x"FF", -- Addr 271, Offset 15 + x"FF", -- Addr 272, Offset 16 + x"FF", -- Addr 273, Offset 17 + x"FF", -- Addr 274, Offset 18 + x"FF", -- Addr 275, Offset 19 + x"FF", -- Addr 276, Offset 20 + x"FF", -- Addr 277, Offset 21 + x"FF", -- Addr 278, Offset 22 + x"FF", -- Addr 279, Offset 23 + x"FF", -- Addr 280, Offset 24 + x"FF", -- Addr 281, Offset 25 + x"FF", -- Addr 282, Offset 26 + x"FF", -- Addr 283, Offset 27 + x"FF", -- Addr 284, Offset 28 + x"FF", -- Addr 285, Offset 29 + x"FF", -- Addr 286, Offset 30 + x"FF", -- Addr 287, Offset 31 + x"FF", -- Addr 288, Offset 32 + x"FF", -- Addr 289, Offset 33 + x"FF", -- Addr 290, Offset 34 + x"FF", -- Addr 291, Offset 35 + x"F2", -- Addr 292, Offset 36 + x"F2", -- Addr 293, Offset 37 + x"FF", -- Addr 294, Offset 38 + x"FF", -- Addr 295, Offset 39 + x"FF", -- Addr 296, Offset 40 + x"FF", -- Addr 297, Offset 41 + x"FF", -- Addr 298, Offset 42 + x"FF", -- Addr 299, Offset 43 + x"FF", -- Addr 300, Offset 44 + x"FF", -- Addr 301, Offset 45 + x"FF", -- Addr 302, Offset 46 + x"FF", -- Addr 303, Offset 47 + x"FF", -- Addr 304, Offset 48 + x"FF", -- Addr 305, Offset 49 + x"FF", -- Addr 306, Offset 50 + x"F6", -- Addr 307, Offset 51 + x"E0", -- Addr 308, Offset 52 + x"E4", -- Addr 309, Offset 53 + x"FB", -- Addr 310, Offset 54 + x"FF", -- Addr 311, Offset 55 + x"FF", -- Addr 312, Offset 56 + x"FF", -- Addr 313, Offset 57 + x"FF", -- Addr 314, Offset 58 + x"FF", -- Addr 315, Offset 59 + x"FF", -- Addr 316, Offset 60 + x"FF", -- Addr 317, Offset 61 + x"FF", -- Addr 318, Offset 62 + x"FF", -- Addr 319, Offset 63 + x"FF", -- Addr 320, Offset 64 + x"FF", -- Addr 321, Offset 65 + x"FB", -- Addr 322, Offset 66 + x"E0", -- Addr 323, Offset 67 + x"E0", -- Addr 324, Offset 68 + x"E5", -- Addr 325, Offset 69 + x"FB", -- Addr 326, Offset 70 + x"FF", -- Addr 327, Offset 71 + x"93", -- Addr 328, Offset 72 + x"DB", -- Addr 329, Offset 73 + x"FF", -- Addr 330, Offset 74 + x"FF", -- Addr 331, Offset 75 + x"FF", -- Addr 332, Offset 76 + x"FF", -- Addr 333, Offset 77 + x"FF", -- Addr 334, Offset 78 + x"FF", -- Addr 335, Offset 79 + x"FF", -- Addr 336, Offset 80 + x"FF", -- Addr 337, Offset 81 + x"E9", -- Addr 338, Offset 82 + x"E0", -- Addr 339, Offset 83 + x"E4", -- Addr 340, Offset 84 + x"F6", -- Addr 341, Offset 85 + x"FF", -- Addr 342, Offset 86 + x"93", -- Addr 343, Offset 87 + x"07", -- Addr 344, Offset 88 + x"4F", -- Addr 345, Offset 89 + x"FF", -- Addr 346, Offset 90 + x"FF", -- Addr 347, Offset 91 + x"FF", -- Addr 348, Offset 92 + x"FF", -- Addr 349, Offset 93 + x"FF", -- Addr 350, Offset 94 + x"FF", -- Addr 351, Offset 95 + x"FF", -- Addr 352, Offset 96 + x"ED", -- Addr 353, Offset 97 + x"E0", -- Addr 354, Offset 98 + x"E0", -- Addr 355, Offset 99 + x"F2", -- Addr 356, Offset 100 + x"FF", -- Addr 357, Offset 101 + x"DB", -- Addr 358, Offset 102 + x"2B", -- Addr 359, Offset 103 + x"07", -- Addr 360, Offset 104 + x"2B", -- Addr 361, Offset 105 + x"FF", -- Addr 362, Offset 106 + x"FF", -- Addr 363, Offset 107 + x"FF", -- Addr 364, Offset 108 + x"BE", -- Addr 365, Offset 109 + x"BE", -- Addr 366, Offset 110 + x"FF", -- Addr 367, Offset 111 + x"FA", -- Addr 368, Offset 112 + x"E4", -- Addr 369, Offset 113 + x"E0", -- Addr 370, Offset 114 + x"E9", -- Addr 371, Offset 115 + x"FB", -- Addr 372, Offset 116 + x"DB", -- Addr 373, Offset 117 + x"4F", -- Addr 374, Offset 118 + x"07", -- Addr 375, Offset 119 + x"07", -- Addr 376, Offset 120 + x"B7", -- Addr 377, Offset 121 + x"FF", -- Addr 378, Offset 122 + x"FF", -- Addr 379, Offset 123 + x"DE", -- Addr 380, Offset 124 + x"5C", -- Addr 381, Offset 125 + x"5C", -- Addr 382, Offset 126 + x"DE", -- Addr 383, Offset 127 + x"FF", -- Addr 384, Offset 128 + x"ED", -- Addr 385, Offset 129 + x"E9", -- Addr 386, Offset 130 + x"FB", -- Addr 387, Offset 131 + x"FF", -- Addr 388, Offset 132 + x"73", -- Addr 389, Offset 133 + x"07", -- Addr 390, Offset 134 + x"2B", -- Addr 391, Offset 135 + x"97", -- Addr 392, Offset 136 + x"FF", -- Addr 393, Offset 137 + x"FF", -- Addr 394, Offset 138 + x"FF", -- Addr 395, Offset 139 + x"7D", -- Addr 396, Offset 140 + x"5C", -- Addr 397, Offset 141 + x"5C", -- Addr 398, Offset 142 + x"DE", -- Addr 399, Offset 143 + x"FF", -- Addr 400, Offset 144 + x"FF", -- Addr 401, Offset 145 + x"FF", -- Addr 402, Offset 146 + x"FF", -- Addr 403, Offset 147 + x"DB", -- Addr 404, Offset 148 + x"4F", -- Addr 405, Offset 149 + x"2B", -- Addr 406, Offset 150 + x"DB", -- Addr 407, Offset 151 + x"FF", -- Addr 408, Offset 152 + x"FF", -- Addr 409, Offset 153 + x"FF", -- Addr 410, Offset 154 + x"BE", -- Addr 411, Offset 155 + x"5C", -- Addr 412, Offset 156 + x"5C", -- Addr 413, Offset 157 + x"9D", -- Addr 414, Offset 158 + x"FF", -- Addr 415, Offset 159 + x"FF", -- Addr 416, Offset 160 + x"FF", -- Addr 417, Offset 161 + x"FF", -- Addr 418, Offset 162 + x"FF", -- Addr 419, Offset 163 + x"93", -- Addr 420, Offset 164 + x"07", -- Addr 421, Offset 165 + x"4F", -- Addr 422, Offset 166 + x"FF", -- Addr 423, Offset 167 + x"FF", -- Addr 424, Offset 168 + x"FF", -- Addr 425, Offset 169 + x"BE", -- Addr 426, Offset 170 + x"7C", -- Addr 427, Offset 171 + x"5C", -- Addr 428, Offset 172 + x"9D", -- Addr 429, Offset 173 + x"FF", -- Addr 430, Offset 174 + x"FF", -- Addr 431, Offset 175 + x"FF", -- Addr 432, Offset 176 + x"FF", -- Addr 433, Offset 177 + x"FF", -- Addr 434, Offset 178 + x"B7", -- Addr 435, Offset 179 + x"07", -- Addr 436, Offset 180 + x"07", -- Addr 437, Offset 181 + x"DB", -- Addr 438, Offset 182 + x"FF", -- Addr 439, Offset 183 + x"FF", -- Addr 440, Offset 184 + x"DE", -- Addr 441, Offset 185 + x"5C", -- Addr 442, Offset 186 + x"5C", -- Addr 443, Offset 187 + x"7D", -- Addr 444, Offset 188 + x"FF", -- Addr 445, Offset 189 + x"FF", -- Addr 446, Offset 190 + x"FF", -- Addr 447, Offset 191 + x"FF", -- Addr 448, Offset 192 + x"FF", -- Addr 449, Offset 193 + x"FF", -- Addr 450, Offset 194 + x"2B", -- Addr 451, Offset 195 + x"07", -- Addr 452, Offset 196 + x"93", -- Addr 453, Offset 197 + x"FF", -- Addr 454, Offset 198 + x"FF", -- Addr 455, Offset 199 + x"DF", -- Addr 456, Offset 200 + x"7C", -- Addr 457, Offset 201 + x"5C", -- Addr 458, Offset 202 + x"9D", -- Addr 459, Offset 203 + x"FF", -- Addr 460, Offset 204 + x"FF", -- Addr 461, Offset 205 + x"FF", -- Addr 462, Offset 206 + x"FF", -- Addr 463, Offset 207 + x"FF", -- Addr 464, Offset 208 + x"FF", -- Addr 465, Offset 209 + x"FF", -- Addr 466, Offset 210 + x"B7", -- Addr 467, Offset 211 + x"73", -- Addr 468, Offset 212 + x"FF", -- Addr 469, Offset 213 + x"FF", -- Addr 470, Offset 214 + x"FF", -- Addr 471, Offset 215 + x"9D", -- Addr 472, Offset 216 + x"5C", -- Addr 473, Offset 217 + x"9D", -- Addr 474, Offset 218 + x"FF", -- Addr 475, Offset 219 + x"FF", -- Addr 476, Offset 220 + x"FF", -- Addr 477, Offset 221 + x"FF", -- Addr 478, Offset 222 + x"FF", -- Addr 479, Offset 223 + x"FF", -- Addr 480, Offset 224 + x"FF", -- Addr 481, Offset 225 + x"FF", -- Addr 482, Offset 226 + x"FF", -- Addr 483, Offset 227 + x"FF", -- Addr 484, Offset 228 + x"FF", -- Addr 485, Offset 229 + x"FF", -- Addr 486, Offset 230 + x"FF", -- Addr 487, Offset 231 + x"DF", -- Addr 488, Offset 232 + x"9D", -- Addr 489, Offset 233 + x"DF", -- Addr 490, Offset 234 + x"FF", -- Addr 491, Offset 235 + x"FF", -- Addr 492, Offset 236 + x"FF", -- Addr 493, Offset 237 + x"FF", -- Addr 494, Offset 238 + x"FF", -- Addr 495, Offset 239 + x"FF", -- Addr 496, Offset 240 + x"FF", -- Addr 497, Offset 241 + x"FF", -- Addr 498, Offset 242 + x"FF", -- Addr 499, Offset 243 + x"FF", -- Addr 500, Offset 244 + x"FF", -- Addr 501, Offset 245 + x"FF", -- Addr 502, Offset 246 + x"FF", -- Addr 503, Offset 247 + x"FF", -- Addr 504, Offset 248 + x"FF", -- Addr 505, Offset 249 + x"FF", -- Addr 506, Offset 250 + x"FF", -- Addr 507, Offset 251 + x"FF", -- Addr 508, Offset 252 + x"FF", -- Addr 509, Offset 253 + x"FF", -- Addr 510, Offset 254 + x"FF", -- Addr 511, Offset 255 + + -- Sprite: 2, Basis-Adresse: 512 + x"FF", -- Addr 512, Offset 0 + x"FF", -- Addr 513, Offset 1 + x"FF", -- Addr 514, Offset 2 + x"FF", -- Addr 515, Offset 3 + x"FF", -- Addr 516, Offset 4 + x"FF", -- Addr 517, Offset 5 + x"FF", -- Addr 518, Offset 6 + x"FF", -- Addr 519, Offset 7 + x"FF", -- Addr 520, Offset 8 + x"FF", -- Addr 521, Offset 9 + x"FF", -- Addr 522, Offset 10 + x"FF", -- Addr 523, Offset 11 + x"FF", -- Addr 524, Offset 12 + x"FF", -- Addr 525, Offset 13 + x"FF", -- Addr 526, Offset 14 + x"FF", -- Addr 527, Offset 15 + x"FF", -- Addr 528, Offset 16 + x"FF", -- Addr 529, Offset 17 + x"FF", -- Addr 530, Offset 18 + x"FF", -- Addr 531, Offset 19 + x"FF", -- Addr 532, Offset 20 + x"FF", -- Addr 533, Offset 21 + x"FF", -- Addr 534, Offset 22 + x"FF", -- Addr 535, Offset 23 + x"FF", -- Addr 536, Offset 24 + x"FF", -- Addr 537, Offset 25 + x"FF", -- Addr 538, Offset 26 + x"FF", -- Addr 539, Offset 27 + x"FF", -- Addr 540, Offset 28 + x"FF", -- Addr 541, Offset 29 + x"FF", -- Addr 542, Offset 30 + x"FF", -- Addr 543, Offset 31 + x"FF", -- Addr 544, Offset 32 + x"FF", -- Addr 545, Offset 33 + x"FF", -- Addr 546, Offset 34 + x"FF", -- Addr 547, Offset 35 + x"F2", -- Addr 548, Offset 36 + x"F2", -- Addr 549, Offset 37 + x"FF", -- Addr 550, Offset 38 + x"FF", -- Addr 551, Offset 39 + x"FF", -- Addr 552, Offset 40 + x"FF", -- Addr 553, Offset 41 + x"FF", -- Addr 554, Offset 42 + x"FF", -- Addr 555, Offset 43 + x"FF", -- Addr 556, Offset 44 + x"FF", -- Addr 557, Offset 45 + x"FF", -- Addr 558, Offset 46 + x"FF", -- Addr 559, Offset 47 + x"FF", -- Addr 560, Offset 48 + x"FF", -- Addr 561, Offset 49 + x"FF", -- Addr 562, Offset 50 + x"F6", -- Addr 563, Offset 51 + x"E0", -- Addr 564, Offset 52 + x"E4", -- Addr 565, Offset 53 + x"FB", -- Addr 566, Offset 54 + x"FF", -- Addr 567, Offset 55 + x"FF", -- Addr 568, Offset 56 + x"FF", -- Addr 569, Offset 57 + x"FF", -- Addr 570, Offset 58 + x"FF", -- Addr 571, Offset 59 + x"FF", -- Addr 572, Offset 60 + x"FF", -- Addr 573, Offset 61 + x"FF", -- Addr 574, Offset 62 + x"FF", -- Addr 575, Offset 63 + x"FF", -- Addr 576, Offset 64 + x"FF", -- Addr 577, Offset 65 + x"FB", -- Addr 578, Offset 66 + x"E0", -- Addr 579, Offset 67 + x"E0", -- Addr 580, Offset 68 + x"E5", -- Addr 581, Offset 69 + x"FB", -- Addr 582, Offset 70 + x"FF", -- Addr 583, Offset 71 + x"93", -- Addr 584, Offset 72 + x"DB", -- Addr 585, Offset 73 + x"FF", -- Addr 586, Offset 74 + x"FF", -- Addr 587, Offset 75 + x"FF", -- Addr 588, Offset 76 + x"FF", -- Addr 589, Offset 77 + x"FF", -- Addr 590, Offset 78 + x"FF", -- Addr 591, Offset 79 + x"FF", -- Addr 592, Offset 80 + x"FF", -- Addr 593, Offset 81 + x"E9", -- Addr 594, Offset 82 + x"E0", -- Addr 595, Offset 83 + x"E4", -- Addr 596, Offset 84 + x"F6", -- Addr 597, Offset 85 + x"FF", -- Addr 598, Offset 86 + x"93", -- Addr 599, Offset 87 + x"07", -- Addr 600, Offset 88 + x"4F", -- Addr 601, Offset 89 + x"FF", -- Addr 602, Offset 90 + x"FF", -- Addr 603, Offset 91 + x"FF", -- Addr 604, Offset 92 + x"FF", -- Addr 605, Offset 93 + x"FF", -- Addr 606, Offset 94 + x"FF", -- Addr 607, Offset 95 + x"FF", -- Addr 608, Offset 96 + x"ED", -- Addr 609, Offset 97 + x"E0", -- Addr 610, Offset 98 + x"E0", -- Addr 611, Offset 99 + x"F2", -- Addr 612, Offset 100 + x"FF", -- Addr 613, Offset 101 + x"DB", -- Addr 614, Offset 102 + x"2B", -- Addr 615, Offset 103 + x"07", -- Addr 616, Offset 104 + x"2B", -- Addr 617, Offset 105 + x"FF", -- Addr 618, Offset 106 + x"FF", -- Addr 619, Offset 107 + x"FF", -- Addr 620, Offset 108 + x"BE", -- Addr 621, Offset 109 + x"BE", -- Addr 622, Offset 110 + x"FF", -- Addr 623, Offset 111 + x"FA", -- Addr 624, Offset 112 + x"E4", -- Addr 625, Offset 113 + x"E0", -- Addr 626, Offset 114 + x"E9", -- Addr 627, Offset 115 + x"FB", -- Addr 628, Offset 116 + x"DB", -- Addr 629, Offset 117 + x"4F", -- Addr 630, Offset 118 + x"07", -- Addr 631, Offset 119 + x"07", -- Addr 632, Offset 120 + x"B7", -- Addr 633, Offset 121 + x"FF", -- Addr 634, Offset 122 + x"FF", -- Addr 635, Offset 123 + x"DE", -- Addr 636, Offset 124 + x"5C", -- Addr 637, Offset 125 + x"5C", -- Addr 638, Offset 126 + x"DE", -- Addr 639, Offset 127 + x"FF", -- Addr 640, Offset 128 + x"ED", -- Addr 641, Offset 129 + x"E9", -- Addr 642, Offset 130 + x"FB", -- Addr 643, Offset 131 + x"FF", -- Addr 644, Offset 132 + x"73", -- Addr 645, Offset 133 + x"07", -- Addr 646, Offset 134 + x"2B", -- Addr 647, Offset 135 + x"97", -- Addr 648, Offset 136 + x"FF", -- Addr 649, Offset 137 + x"FF", -- Addr 650, Offset 138 + x"FF", -- Addr 651, Offset 139 + x"7D", -- Addr 652, Offset 140 + x"5C", -- Addr 653, Offset 141 + x"5C", -- Addr 654, Offset 142 + x"DE", -- Addr 655, Offset 143 + x"FF", -- Addr 656, Offset 144 + x"FF", -- Addr 657, Offset 145 + x"FF", -- Addr 658, Offset 146 + x"FF", -- Addr 659, Offset 147 + x"DB", -- Addr 660, Offset 148 + x"4F", -- Addr 661, Offset 149 + x"2B", -- Addr 662, Offset 150 + x"DB", -- Addr 663, Offset 151 + x"FF", -- Addr 664, Offset 152 + x"FF", -- Addr 665, Offset 153 + x"FF", -- Addr 666, Offset 154 + x"BE", -- Addr 667, Offset 155 + x"5C", -- Addr 668, Offset 156 + x"5C", -- Addr 669, Offset 157 + x"9D", -- Addr 670, Offset 158 + x"FF", -- Addr 671, Offset 159 + x"FF", -- Addr 672, Offset 160 + x"FF", -- Addr 673, Offset 161 + x"FF", -- Addr 674, Offset 162 + x"FF", -- Addr 675, Offset 163 + x"93", -- Addr 676, Offset 164 + x"07", -- Addr 677, Offset 165 + x"4F", -- Addr 678, Offset 166 + x"FF", -- Addr 679, Offset 167 + x"FF", -- Addr 680, Offset 168 + x"FF", -- Addr 681, Offset 169 + x"BE", -- Addr 682, Offset 170 + x"7C", -- Addr 683, Offset 171 + x"5C", -- Addr 684, Offset 172 + x"9D", -- Addr 685, Offset 173 + x"FF", -- Addr 686, Offset 174 + x"FF", -- Addr 687, Offset 175 + x"FF", -- Addr 688, Offset 176 + x"FF", -- Addr 689, Offset 177 + x"FF", -- Addr 690, Offset 178 + x"B7", -- Addr 691, Offset 179 + x"07", -- Addr 692, Offset 180 + x"07", -- Addr 693, Offset 181 + x"DB", -- Addr 694, Offset 182 + x"FF", -- Addr 695, Offset 183 + x"FF", -- Addr 696, Offset 184 + x"DE", -- Addr 697, Offset 185 + x"5C", -- Addr 698, Offset 186 + x"5C", -- Addr 699, Offset 187 + x"7D", -- Addr 700, Offset 188 + x"FF", -- Addr 701, Offset 189 + x"FF", -- Addr 702, Offset 190 + x"FF", -- Addr 703, Offset 191 + x"FF", -- Addr 704, Offset 192 + x"FF", -- Addr 705, Offset 193 + x"FF", -- Addr 706, Offset 194 + x"2B", -- Addr 707, Offset 195 + x"07", -- Addr 708, Offset 196 + x"93", -- Addr 709, Offset 197 + x"FF", -- Addr 710, Offset 198 + x"FF", -- Addr 711, Offset 199 + x"DF", -- Addr 712, Offset 200 + x"7C", -- Addr 713, Offset 201 + x"5C", -- Addr 714, Offset 202 + x"9D", -- Addr 715, Offset 203 + x"FF", -- Addr 716, Offset 204 + x"FF", -- Addr 717, Offset 205 + x"FF", -- Addr 718, Offset 206 + x"FF", -- Addr 719, Offset 207 + x"FF", -- Addr 720, Offset 208 + x"FF", -- Addr 721, Offset 209 + x"FF", -- Addr 722, Offset 210 + x"B7", -- Addr 723, Offset 211 + x"73", -- Addr 724, Offset 212 + x"FF", -- Addr 725, Offset 213 + x"FF", -- Addr 726, Offset 214 + x"FF", -- Addr 727, Offset 215 + x"9D", -- Addr 728, Offset 216 + x"5C", -- Addr 729, Offset 217 + x"9D", -- Addr 730, Offset 218 + x"FF", -- Addr 731, Offset 219 + x"FF", -- Addr 732, Offset 220 + x"FF", -- Addr 733, Offset 221 + x"FF", -- Addr 734, Offset 222 + x"FF", -- Addr 735, Offset 223 + x"FF", -- Addr 736, Offset 224 + x"FF", -- Addr 737, Offset 225 + x"FF", -- Addr 738, Offset 226 + x"FF", -- Addr 739, Offset 227 + x"FF", -- Addr 740, Offset 228 + x"FF", -- Addr 741, Offset 229 + x"FF", -- Addr 742, Offset 230 + x"FF", -- Addr 743, Offset 231 + x"DF", -- Addr 744, Offset 232 + x"9D", -- Addr 745, Offset 233 + x"DF", -- Addr 746, Offset 234 + x"FF", -- Addr 747, Offset 235 + x"FF", -- Addr 748, Offset 236 + x"FF", -- Addr 749, Offset 237 + x"FF", -- Addr 750, Offset 238 + x"FF", -- Addr 751, Offset 239 + x"FF", -- Addr 752, Offset 240 + x"FF", -- Addr 753, Offset 241 + x"FF", -- Addr 754, Offset 242 + x"FF", -- Addr 755, Offset 243 + x"FF", -- Addr 756, Offset 244 + x"FF", -- Addr 757, Offset 245 + x"FF", -- Addr 758, Offset 246 + x"FF", -- Addr 759, Offset 247 + x"FF", -- Addr 760, Offset 248 + x"FF", -- Addr 761, Offset 249 + x"FF", -- Addr 762, Offset 250 + x"FF", -- Addr 763, Offset 251 + x"FF", -- Addr 764, Offset 252 + x"FF", -- Addr 765, Offset 253 + x"FF", -- Addr 766, Offset 254 + x"FF", -- Addr 767, Offset 255 + + -- Sprite: 3, Basis-Adresse: 768 + x"FF", -- Addr 768, Offset 0 + x"FF", -- Addr 769, Offset 1 + x"FF", -- Addr 770, Offset 2 + x"FF", -- Addr 771, Offset 3 + x"FF", -- Addr 772, Offset 4 + x"FF", -- Addr 773, Offset 5 + x"FF", -- Addr 774, Offset 6 + x"FF", -- Addr 775, Offset 7 + x"FF", -- Addr 776, Offset 8 + x"FF", -- Addr 777, Offset 9 + x"FF", -- Addr 778, Offset 10 + x"FF", -- Addr 779, Offset 11 + x"FF", -- Addr 780, Offset 12 + x"FF", -- Addr 781, Offset 13 + x"FF", -- Addr 782, Offset 14 + x"FF", -- Addr 783, Offset 15 + x"FF", -- Addr 784, Offset 16 + x"FF", -- Addr 785, Offset 17 + x"FF", -- Addr 786, Offset 18 + x"FF", -- Addr 787, Offset 19 + x"FF", -- Addr 788, Offset 20 + x"FF", -- Addr 789, Offset 21 + x"FF", -- Addr 790, Offset 22 + x"FF", -- Addr 791, Offset 23 + x"FF", -- Addr 792, Offset 24 + x"FF", -- Addr 793, Offset 25 + x"FF", -- Addr 794, Offset 26 + x"FF", -- Addr 795, Offset 27 + x"FF", -- Addr 796, Offset 28 + x"FF", -- Addr 797, Offset 29 + x"FF", -- Addr 798, Offset 30 + x"FF", -- Addr 799, Offset 31 + x"FF", -- Addr 800, Offset 32 + x"FF", -- Addr 801, Offset 33 + x"FF", -- Addr 802, Offset 34 + x"FF", -- Addr 803, Offset 35 + x"F2", -- Addr 804, Offset 36 + x"F2", -- Addr 805, Offset 37 + x"FF", -- Addr 806, Offset 38 + x"FF", -- Addr 807, Offset 39 + x"FF", -- Addr 808, Offset 40 + x"FF", -- Addr 809, Offset 41 + x"FF", -- Addr 810, Offset 42 + x"FF", -- Addr 811, Offset 43 + x"FF", -- Addr 812, Offset 44 + x"FF", -- Addr 813, Offset 45 + x"FF", -- Addr 814, Offset 46 + x"FF", -- Addr 815, Offset 47 + x"FF", -- Addr 816, Offset 48 + x"FF", -- Addr 817, Offset 49 + x"FF", -- Addr 818, Offset 50 + x"F6", -- Addr 819, Offset 51 + x"E0", -- Addr 820, Offset 52 + x"E4", -- Addr 821, Offset 53 + x"FB", -- Addr 822, Offset 54 + x"FF", -- Addr 823, Offset 55 + x"FF", -- Addr 824, Offset 56 + x"FF", -- Addr 825, Offset 57 + x"FF", -- Addr 826, Offset 58 + x"FF", -- Addr 827, Offset 59 + x"FF", -- Addr 828, Offset 60 + x"FF", -- Addr 829, Offset 61 + x"FF", -- Addr 830, Offset 62 + x"FF", -- Addr 831, Offset 63 + x"FF", -- Addr 832, Offset 64 + x"FF", -- Addr 833, Offset 65 + x"FB", -- Addr 834, Offset 66 + x"E0", -- Addr 835, Offset 67 + x"E0", -- Addr 836, Offset 68 + x"E5", -- Addr 837, Offset 69 + x"FB", -- Addr 838, Offset 70 + x"FF", -- Addr 839, Offset 71 + x"93", -- Addr 840, Offset 72 + x"DB", -- Addr 841, Offset 73 + x"FF", -- Addr 842, Offset 74 + x"FF", -- Addr 843, Offset 75 + x"FF", -- Addr 844, Offset 76 + x"FF", -- Addr 845, Offset 77 + x"FF", -- Addr 846, Offset 78 + x"FF", -- Addr 847, Offset 79 + x"FF", -- Addr 848, Offset 80 + x"FF", -- Addr 849, Offset 81 + x"E9", -- Addr 850, Offset 82 + x"E0", -- Addr 851, Offset 83 + x"E4", -- Addr 852, Offset 84 + x"F6", -- Addr 853, Offset 85 + x"FF", -- Addr 854, Offset 86 + x"93", -- Addr 855, Offset 87 + x"07", -- Addr 856, Offset 88 + x"4F", -- Addr 857, Offset 89 + x"FF", -- Addr 858, Offset 90 + x"FF", -- Addr 859, Offset 91 + x"FF", -- Addr 860, Offset 92 + x"FF", -- Addr 861, Offset 93 + x"FF", -- Addr 862, Offset 94 + x"FF", -- Addr 863, Offset 95 + x"FF", -- Addr 864, Offset 96 + x"ED", -- Addr 865, Offset 97 + x"E0", -- Addr 866, Offset 98 + x"E0", -- Addr 867, Offset 99 + x"F2", -- Addr 868, Offset 100 + x"FF", -- Addr 869, Offset 101 + x"DB", -- Addr 870, Offset 102 + x"2B", -- Addr 871, Offset 103 + x"07", -- Addr 872, Offset 104 + x"2B", -- Addr 873, Offset 105 + x"FF", -- Addr 874, Offset 106 + x"FF", -- Addr 875, Offset 107 + x"FF", -- Addr 876, Offset 108 + x"BE", -- Addr 877, Offset 109 + x"BE", -- Addr 878, Offset 110 + x"FF", -- Addr 879, Offset 111 + x"FA", -- Addr 880, Offset 112 + x"E4", -- Addr 881, Offset 113 + x"E0", -- Addr 882, Offset 114 + x"E9", -- Addr 883, Offset 115 + x"FB", -- Addr 884, Offset 116 + x"DB", -- Addr 885, Offset 117 + x"4F", -- Addr 886, Offset 118 + x"07", -- Addr 887, Offset 119 + x"07", -- Addr 888, Offset 120 + x"B7", -- Addr 889, Offset 121 + x"FF", -- Addr 890, Offset 122 + x"FF", -- Addr 891, Offset 123 + x"DE", -- Addr 892, Offset 124 + x"5C", -- Addr 893, Offset 125 + x"5C", -- Addr 894, Offset 126 + x"DE", -- Addr 895, Offset 127 + x"FF", -- Addr 896, Offset 128 + x"ED", -- Addr 897, Offset 129 + x"E9", -- Addr 898, Offset 130 + x"FB", -- Addr 899, Offset 131 + x"FF", -- Addr 900, Offset 132 + x"73", -- Addr 901, Offset 133 + x"07", -- Addr 902, Offset 134 + x"2B", -- Addr 903, Offset 135 + x"97", -- Addr 904, Offset 136 + x"FF", -- Addr 905, Offset 137 + x"FF", -- Addr 906, Offset 138 + x"FF", -- Addr 907, Offset 139 + x"7D", -- Addr 908, Offset 140 + x"5C", -- Addr 909, Offset 141 + x"5C", -- Addr 910, Offset 142 + x"DE", -- Addr 911, Offset 143 + x"FF", -- Addr 912, Offset 144 + x"FF", -- Addr 913, Offset 145 + x"FF", -- Addr 914, Offset 146 + x"FF", -- Addr 915, Offset 147 + x"DB", -- Addr 916, Offset 148 + x"4F", -- Addr 917, Offset 149 + x"2B", -- Addr 918, Offset 150 + x"DB", -- Addr 919, Offset 151 + x"FF", -- Addr 920, Offset 152 + x"FF", -- Addr 921, Offset 153 + x"FF", -- Addr 922, Offset 154 + x"BE", -- Addr 923, Offset 155 + x"5C", -- Addr 924, Offset 156 + x"5C", -- Addr 925, Offset 157 + x"9D", -- Addr 926, Offset 158 + x"FF", -- Addr 927, Offset 159 + x"FF", -- Addr 928, Offset 160 + x"FF", -- Addr 929, Offset 161 + x"FF", -- Addr 930, Offset 162 + x"FF", -- Addr 931, Offset 163 + x"93", -- Addr 932, Offset 164 + x"07", -- Addr 933, Offset 165 + x"4F", -- Addr 934, Offset 166 + x"FF", -- Addr 935, Offset 167 + x"FF", -- Addr 936, Offset 168 + x"FF", -- Addr 937, Offset 169 + x"BE", -- Addr 938, Offset 170 + x"7C", -- Addr 939, Offset 171 + x"5C", -- Addr 940, Offset 172 + x"9D", -- Addr 941, Offset 173 + x"FF", -- Addr 942, Offset 174 + x"FF", -- Addr 943, Offset 175 + x"FF", -- Addr 944, Offset 176 + x"FF", -- Addr 945, Offset 177 + x"FF", -- Addr 946, Offset 178 + x"B7", -- Addr 947, Offset 179 + x"07", -- Addr 948, Offset 180 + x"07", -- Addr 949, Offset 181 + x"DB", -- Addr 950, Offset 182 + x"FF", -- Addr 951, Offset 183 + x"FF", -- Addr 952, Offset 184 + x"DE", -- Addr 953, Offset 185 + x"5C", -- Addr 954, Offset 186 + x"5C", -- Addr 955, Offset 187 + x"7D", -- Addr 956, Offset 188 + x"FF", -- Addr 957, Offset 189 + x"FF", -- Addr 958, Offset 190 + x"FF", -- Addr 959, Offset 191 + x"FF", -- Addr 960, Offset 192 + x"FF", -- Addr 961, Offset 193 + x"FF", -- Addr 962, Offset 194 + x"2B", -- Addr 963, Offset 195 + x"07", -- Addr 964, Offset 196 + x"93", -- Addr 965, Offset 197 + x"FF", -- Addr 966, Offset 198 + x"FF", -- Addr 967, Offset 199 + x"DF", -- Addr 968, Offset 200 + x"7C", -- Addr 969, Offset 201 + x"5C", -- Addr 970, Offset 202 + x"9D", -- Addr 971, Offset 203 + x"FF", -- Addr 972, Offset 204 + x"FF", -- Addr 973, Offset 205 + x"FF", -- Addr 974, Offset 206 + x"FF", -- Addr 975, Offset 207 + x"FF", -- Addr 976, Offset 208 + x"FF", -- Addr 977, Offset 209 + x"FF", -- Addr 978, Offset 210 + x"B7", -- Addr 979, Offset 211 + x"73", -- Addr 980, Offset 212 + x"FF", -- Addr 981, Offset 213 + x"FF", -- Addr 982, Offset 214 + x"FF", -- Addr 983, Offset 215 + x"9D", -- Addr 984, Offset 216 + x"5C", -- Addr 985, Offset 217 + x"9D", -- Addr 986, Offset 218 + x"FF", -- Addr 987, Offset 219 + x"FF", -- Addr 988, Offset 220 + x"FF", -- Addr 989, Offset 221 + x"FF", -- Addr 990, Offset 222 + x"FF", -- Addr 991, Offset 223 + x"FF", -- Addr 992, Offset 224 + x"FF", -- Addr 993, Offset 225 + x"FF", -- Addr 994, Offset 226 + x"FF", -- Addr 995, Offset 227 + x"FF", -- Addr 996, Offset 228 + x"FF", -- Addr 997, Offset 229 + x"FF", -- Addr 998, Offset 230 + x"FF", -- Addr 999, Offset 231 + x"DF", -- Addr 1000, Offset 232 + x"9D", -- Addr 1001, Offset 233 + x"DF", -- Addr 1002, Offset 234 + x"FF", -- Addr 1003, Offset 235 + x"FF", -- Addr 1004, Offset 236 + x"FF", -- Addr 1005, Offset 237 + x"FF", -- Addr 1006, Offset 238 + x"FF", -- Addr 1007, Offset 239 + x"FF", -- Addr 1008, Offset 240 + x"FF", -- Addr 1009, Offset 241 + x"FF", -- Addr 1010, Offset 242 + x"FF", -- Addr 1011, Offset 243 + x"FF", -- Addr 1012, Offset 244 + x"FF", -- Addr 1013, Offset 245 + x"FF", -- Addr 1014, Offset 246 + x"FF", -- Addr 1015, Offset 247 + x"FF", -- Addr 1016, Offset 248 + x"FF", -- Addr 1017, Offset 249 + x"FF", -- Addr 1018, Offset 250 + x"FF", -- Addr 1019, Offset 251 + x"FF", -- Addr 1020, Offset 252 + x"FF", -- Addr 1021, Offset 253 + x"FF", -- Addr 1022, Offset 254 + x"FF", -- Addr 1023, Offset 255 + + -- Sprite: 4, Basis-Adresse: 1024 + x"FF", -- Addr 1024, Offset 0 + x"FF", -- Addr 1025, Offset 1 + x"FF", -- Addr 1026, Offset 2 + x"FF", -- Addr 1027, Offset 3 + x"FF", -- Addr 1028, Offset 4 + x"FF", -- Addr 1029, Offset 5 + x"FF", -- Addr 1030, Offset 6 + x"FF", -- Addr 1031, Offset 7 + x"FF", -- Addr 1032, Offset 8 + x"FF", -- Addr 1033, Offset 9 + x"FF", -- Addr 1034, Offset 10 + x"FF", -- Addr 1035, Offset 11 + x"FF", -- Addr 1036, Offset 12 + x"FF", -- Addr 1037, Offset 13 + x"FF", -- Addr 1038, Offset 14 + x"FF", -- Addr 1039, Offset 15 + x"FF", -- Addr 1040, Offset 16 + x"FF", -- Addr 1041, Offset 17 + x"FF", -- Addr 1042, Offset 18 + x"FF", -- Addr 1043, Offset 19 + x"FF", -- Addr 1044, Offset 20 + x"FF", -- Addr 1045, Offset 21 + x"FF", -- Addr 1046, Offset 22 + x"FF", -- Addr 1047, Offset 23 + x"FF", -- Addr 1048, Offset 24 + x"FF", -- Addr 1049, Offset 25 + x"FF", -- Addr 1050, Offset 26 + x"FF", -- Addr 1051, Offset 27 + x"FF", -- Addr 1052, Offset 28 + x"FF", -- Addr 1053, Offset 29 + x"FF", -- Addr 1054, Offset 30 + x"FF", -- Addr 1055, Offset 31 + x"FF", -- Addr 1056, Offset 32 + x"FF", -- Addr 1057, Offset 33 + x"FF", -- Addr 1058, Offset 34 + x"FF", -- Addr 1059, Offset 35 + x"F2", -- Addr 1060, Offset 36 + x"F2", -- Addr 1061, Offset 37 + x"FF", -- Addr 1062, Offset 38 + x"FF", -- Addr 1063, Offset 39 + x"FF", -- Addr 1064, Offset 40 + x"FF", -- Addr 1065, Offset 41 + x"FF", -- Addr 1066, Offset 42 + x"FF", -- Addr 1067, Offset 43 + x"FF", -- Addr 1068, Offset 44 + x"FF", -- Addr 1069, Offset 45 + x"FF", -- Addr 1070, Offset 46 + x"FF", -- Addr 1071, Offset 47 + x"FF", -- Addr 1072, Offset 48 + x"FF", -- Addr 1073, Offset 49 + x"FF", -- Addr 1074, Offset 50 + x"F6", -- Addr 1075, Offset 51 + x"E0", -- Addr 1076, Offset 52 + x"E4", -- Addr 1077, Offset 53 + x"FB", -- Addr 1078, Offset 54 + x"FF", -- Addr 1079, Offset 55 + x"FF", -- Addr 1080, Offset 56 + x"FF", -- Addr 1081, Offset 57 + x"FF", -- Addr 1082, Offset 58 + x"FF", -- Addr 1083, Offset 59 + x"FF", -- Addr 1084, Offset 60 + x"FF", -- Addr 1085, Offset 61 + x"FF", -- Addr 1086, Offset 62 + x"FF", -- Addr 1087, Offset 63 + x"FF", -- Addr 1088, Offset 64 + x"FF", -- Addr 1089, Offset 65 + x"FB", -- Addr 1090, Offset 66 + x"E0", -- Addr 1091, Offset 67 + x"E0", -- Addr 1092, Offset 68 + x"E5", -- Addr 1093, Offset 69 + x"FB", -- Addr 1094, Offset 70 + x"FF", -- Addr 1095, Offset 71 + x"93", -- Addr 1096, Offset 72 + x"DB", -- Addr 1097, Offset 73 + x"FF", -- Addr 1098, Offset 74 + x"FF", -- Addr 1099, Offset 75 + x"FF", -- Addr 1100, Offset 76 + x"FF", -- Addr 1101, Offset 77 + x"FF", -- Addr 1102, Offset 78 + x"FF", -- Addr 1103, Offset 79 + x"FF", -- Addr 1104, Offset 80 + x"FF", -- Addr 1105, Offset 81 + x"E9", -- Addr 1106, Offset 82 + x"E0", -- Addr 1107, Offset 83 + x"E4", -- Addr 1108, Offset 84 + x"F6", -- Addr 1109, Offset 85 + x"FF", -- Addr 1110, Offset 86 + x"93", -- Addr 1111, Offset 87 + x"07", -- Addr 1112, Offset 88 + x"4F", -- Addr 1113, Offset 89 + x"FF", -- Addr 1114, Offset 90 + x"FF", -- Addr 1115, Offset 91 + x"FF", -- Addr 1116, Offset 92 + x"FF", -- Addr 1117, Offset 93 + x"FF", -- Addr 1118, Offset 94 + x"FF", -- Addr 1119, Offset 95 + x"FF", -- Addr 1120, Offset 96 + x"ED", -- Addr 1121, Offset 97 + x"E0", -- Addr 1122, Offset 98 + x"E0", -- Addr 1123, Offset 99 + x"F2", -- Addr 1124, Offset 100 + x"FF", -- Addr 1125, Offset 101 + x"DB", -- Addr 1126, Offset 102 + x"2B", -- Addr 1127, Offset 103 + x"07", -- Addr 1128, Offset 104 + x"2B", -- Addr 1129, Offset 105 + x"FF", -- Addr 1130, Offset 106 + x"FF", -- Addr 1131, Offset 107 + x"FF", -- Addr 1132, Offset 108 + x"BE", -- Addr 1133, Offset 109 + x"BE", -- Addr 1134, Offset 110 + x"FF", -- Addr 1135, Offset 111 + x"FA", -- Addr 1136, Offset 112 + x"E4", -- Addr 1137, Offset 113 + x"E0", -- Addr 1138, Offset 114 + x"E9", -- Addr 1139, Offset 115 + x"FB", -- Addr 1140, Offset 116 + x"DB", -- Addr 1141, Offset 117 + x"4F", -- Addr 1142, Offset 118 + x"07", -- Addr 1143, Offset 119 + x"07", -- Addr 1144, Offset 120 + x"B7", -- Addr 1145, Offset 121 + x"FF", -- Addr 1146, Offset 122 + x"FF", -- Addr 1147, Offset 123 + x"DE", -- Addr 1148, Offset 124 + x"5C", -- Addr 1149, Offset 125 + x"5C", -- Addr 1150, Offset 126 + x"DE", -- Addr 1151, Offset 127 + x"FF", -- Addr 1152, Offset 128 + x"ED", -- Addr 1153, Offset 129 + x"E9", -- Addr 1154, Offset 130 + x"FB", -- Addr 1155, Offset 131 + x"FF", -- Addr 1156, Offset 132 + x"73", -- Addr 1157, Offset 133 + x"07", -- Addr 1158, Offset 134 + x"2B", -- Addr 1159, Offset 135 + x"97", -- Addr 1160, Offset 136 + x"FF", -- Addr 1161, Offset 137 + x"FF", -- Addr 1162, Offset 138 + x"FF", -- Addr 1163, Offset 139 + x"7D", -- Addr 1164, Offset 140 + x"5C", -- Addr 1165, Offset 141 + x"5C", -- Addr 1166, Offset 142 + x"DE", -- Addr 1167, Offset 143 + x"FF", -- Addr 1168, Offset 144 + x"FF", -- Addr 1169, Offset 145 + x"FF", -- Addr 1170, Offset 146 + x"FF", -- Addr 1171, Offset 147 + x"DB", -- Addr 1172, Offset 148 + x"4F", -- Addr 1173, Offset 149 + x"2B", -- Addr 1174, Offset 150 + x"DB", -- Addr 1175, Offset 151 + x"FF", -- Addr 1176, Offset 152 + x"FF", -- Addr 1177, Offset 153 + x"FF", -- Addr 1178, Offset 154 + x"BE", -- Addr 1179, Offset 155 + x"5C", -- Addr 1180, Offset 156 + x"5C", -- Addr 1181, Offset 157 + x"9D", -- Addr 1182, Offset 158 + x"FF", -- Addr 1183, Offset 159 + x"FF", -- Addr 1184, Offset 160 + x"FF", -- Addr 1185, Offset 161 + x"FF", -- Addr 1186, Offset 162 + x"FF", -- Addr 1187, Offset 163 + x"93", -- Addr 1188, Offset 164 + x"07", -- Addr 1189, Offset 165 + x"4F", -- Addr 1190, Offset 166 + x"FF", -- Addr 1191, Offset 167 + x"FF", -- Addr 1192, Offset 168 + x"FF", -- Addr 1193, Offset 169 + x"BE", -- Addr 1194, Offset 170 + x"7C", -- Addr 1195, Offset 171 + x"5C", -- Addr 1196, Offset 172 + x"9D", -- Addr 1197, Offset 173 + x"FF", -- Addr 1198, Offset 174 + x"FF", -- Addr 1199, Offset 175 + x"FF", -- Addr 1200, Offset 176 + x"FF", -- Addr 1201, Offset 177 + x"FF", -- Addr 1202, Offset 178 + x"B7", -- Addr 1203, Offset 179 + x"07", -- Addr 1204, Offset 180 + x"07", -- Addr 1205, Offset 181 + x"DB", -- Addr 1206, Offset 182 + x"FF", -- Addr 1207, Offset 183 + x"FF", -- Addr 1208, Offset 184 + x"DE", -- Addr 1209, Offset 185 + x"5C", -- Addr 1210, Offset 186 + x"5C", -- Addr 1211, Offset 187 + x"7D", -- Addr 1212, Offset 188 + x"FF", -- Addr 1213, Offset 189 + x"FF", -- Addr 1214, Offset 190 + x"FF", -- Addr 1215, Offset 191 + x"FF", -- Addr 1216, Offset 192 + x"FF", -- Addr 1217, Offset 193 + x"FF", -- Addr 1218, Offset 194 + x"2B", -- Addr 1219, Offset 195 + x"07", -- Addr 1220, Offset 196 + x"93", -- Addr 1221, Offset 197 + x"FF", -- Addr 1222, Offset 198 + x"FF", -- Addr 1223, Offset 199 + x"DF", -- Addr 1224, Offset 200 + x"7C", -- Addr 1225, Offset 201 + x"5C", -- Addr 1226, Offset 202 + x"9D", -- Addr 1227, Offset 203 + x"FF", -- Addr 1228, Offset 204 + x"FF", -- Addr 1229, Offset 205 + x"FF", -- Addr 1230, Offset 206 + x"FF", -- Addr 1231, Offset 207 + x"FF", -- Addr 1232, Offset 208 + x"FF", -- Addr 1233, Offset 209 + x"FF", -- Addr 1234, Offset 210 + x"B7", -- Addr 1235, Offset 211 + x"73", -- Addr 1236, Offset 212 + x"FF", -- Addr 1237, Offset 213 + x"FF", -- Addr 1238, Offset 214 + x"FF", -- Addr 1239, Offset 215 + x"9D", -- Addr 1240, Offset 216 + x"5C", -- Addr 1241, Offset 217 + x"9D", -- Addr 1242, Offset 218 + x"FF", -- Addr 1243, Offset 219 + x"FF", -- Addr 1244, Offset 220 + x"FF", -- Addr 1245, Offset 221 + x"FF", -- Addr 1246, Offset 222 + x"FF", -- Addr 1247, Offset 223 + x"FF", -- Addr 1248, Offset 224 + x"FF", -- Addr 1249, Offset 225 + x"FF", -- Addr 1250, Offset 226 + x"FF", -- Addr 1251, Offset 227 + x"FF", -- Addr 1252, Offset 228 + x"FF", -- Addr 1253, Offset 229 + x"FF", -- Addr 1254, Offset 230 + x"FF", -- Addr 1255, Offset 231 + x"DF", -- Addr 1256, Offset 232 + x"9D", -- Addr 1257, Offset 233 + x"DF", -- Addr 1258, Offset 234 + x"FF", -- Addr 1259, Offset 235 + x"FF", -- Addr 1260, Offset 236 + x"FF", -- Addr 1261, Offset 237 + x"FF", -- Addr 1262, Offset 238 + x"FF", -- Addr 1263, Offset 239 + x"FF", -- Addr 1264, Offset 240 + x"FF", -- Addr 1265, Offset 241 + x"FF", -- Addr 1266, Offset 242 + x"FF", -- Addr 1267, Offset 243 + x"FF", -- Addr 1268, Offset 244 + x"FF", -- Addr 1269, Offset 245 + x"FF", -- Addr 1270, Offset 246 + x"FF", -- Addr 1271, Offset 247 + x"FF", -- Addr 1272, Offset 248 + x"FF", -- Addr 1273, Offset 249 + x"FF", -- Addr 1274, Offset 250 + x"FF", -- Addr 1275, Offset 251 + x"FF", -- Addr 1276, Offset 252 + x"FF", -- Addr 1277, Offset 253 + x"FF", -- Addr 1278, Offset 254 + x"FF", -- Addr 1279, Offset 255 + + -- Sprite: 5, Basis-Adresse: 1280 + x"FF", -- Addr 1280, Offset 0 + x"FF", -- Addr 1281, Offset 1 + x"FF", -- Addr 1282, Offset 2 + x"FF", -- Addr 1283, Offset 3 + x"FF", -- Addr 1284, Offset 4 + x"FF", -- Addr 1285, Offset 5 + x"FF", -- Addr 1286, Offset 6 + x"FF", -- Addr 1287, Offset 7 + x"FF", -- Addr 1288, Offset 8 + x"FF", -- Addr 1289, Offset 9 + x"FF", -- Addr 1290, Offset 10 + x"FF", -- Addr 1291, Offset 11 + x"FF", -- Addr 1292, Offset 12 + x"FF", -- Addr 1293, Offset 13 + x"FF", -- Addr 1294, Offset 14 + x"FF", -- Addr 1295, Offset 15 + x"FF", -- Addr 1296, Offset 16 + x"FF", -- Addr 1297, Offset 17 + x"FF", -- Addr 1298, Offset 18 + x"FF", -- Addr 1299, Offset 19 + x"FF", -- Addr 1300, Offset 20 + x"FF", -- Addr 1301, Offset 21 + x"FF", -- Addr 1302, Offset 22 + x"FF", -- Addr 1303, Offset 23 + x"FF", -- Addr 1304, Offset 24 + x"FF", -- Addr 1305, Offset 25 + x"FF", -- Addr 1306, Offset 26 + x"FF", -- Addr 1307, Offset 27 + x"FF", -- Addr 1308, Offset 28 + x"FF", -- Addr 1309, Offset 29 + x"FF", -- Addr 1310, Offset 30 + x"FF", -- Addr 1311, Offset 31 + x"FF", -- Addr 1312, Offset 32 + x"FF", -- Addr 1313, Offset 33 + x"FF", -- Addr 1314, Offset 34 + x"FF", -- Addr 1315, Offset 35 + x"F2", -- Addr 1316, Offset 36 + x"F2", -- Addr 1317, Offset 37 + x"FF", -- Addr 1318, Offset 38 + x"FF", -- Addr 1319, Offset 39 + x"FF", -- Addr 1320, Offset 40 + x"FF", -- Addr 1321, Offset 41 + x"FF", -- Addr 1322, Offset 42 + x"FF", -- Addr 1323, Offset 43 + x"FF", -- Addr 1324, Offset 44 + x"FF", -- Addr 1325, Offset 45 + x"FF", -- Addr 1326, Offset 46 + x"FF", -- Addr 1327, Offset 47 + x"FF", -- Addr 1328, Offset 48 + x"FF", -- Addr 1329, Offset 49 + x"FF", -- Addr 1330, Offset 50 + x"F6", -- Addr 1331, Offset 51 + x"E0", -- Addr 1332, Offset 52 + x"E4", -- Addr 1333, Offset 53 + x"FB", -- Addr 1334, Offset 54 + x"FF", -- Addr 1335, Offset 55 + x"FF", -- Addr 1336, Offset 56 + x"FF", -- Addr 1337, Offset 57 + x"FF", -- Addr 1338, Offset 58 + x"FF", -- Addr 1339, Offset 59 + x"FF", -- Addr 1340, Offset 60 + x"FF", -- Addr 1341, Offset 61 + x"FF", -- Addr 1342, Offset 62 + x"FF", -- Addr 1343, Offset 63 + x"FF", -- Addr 1344, Offset 64 + x"FF", -- Addr 1345, Offset 65 + x"FB", -- Addr 1346, Offset 66 + x"E0", -- Addr 1347, Offset 67 + x"E0", -- Addr 1348, Offset 68 + x"E5", -- Addr 1349, Offset 69 + x"FB", -- Addr 1350, Offset 70 + x"FF", -- Addr 1351, Offset 71 + x"93", -- Addr 1352, Offset 72 + x"DB", -- Addr 1353, Offset 73 + x"FF", -- Addr 1354, Offset 74 + x"FF", -- Addr 1355, Offset 75 + x"FF", -- Addr 1356, Offset 76 + x"FF", -- Addr 1357, Offset 77 + x"FF", -- Addr 1358, Offset 78 + x"FF", -- Addr 1359, Offset 79 + x"FF", -- Addr 1360, Offset 80 + x"FF", -- Addr 1361, Offset 81 + x"E9", -- Addr 1362, Offset 82 + x"E0", -- Addr 1363, Offset 83 + x"E4", -- Addr 1364, Offset 84 + x"F6", -- Addr 1365, Offset 85 + x"FF", -- Addr 1366, Offset 86 + x"93", -- Addr 1367, Offset 87 + x"07", -- Addr 1368, Offset 88 + x"4F", -- Addr 1369, Offset 89 + x"FF", -- Addr 1370, Offset 90 + x"FF", -- Addr 1371, Offset 91 + x"FF", -- Addr 1372, Offset 92 + x"FF", -- Addr 1373, Offset 93 + x"FF", -- Addr 1374, Offset 94 + x"FF", -- Addr 1375, Offset 95 + x"FF", -- Addr 1376, Offset 96 + x"ED", -- Addr 1377, Offset 97 + x"E0", -- Addr 1378, Offset 98 + x"E0", -- Addr 1379, Offset 99 + x"F2", -- Addr 1380, Offset 100 + x"FF", -- Addr 1381, Offset 101 + x"DB", -- Addr 1382, Offset 102 + x"2B", -- Addr 1383, Offset 103 + x"07", -- Addr 1384, Offset 104 + x"2B", -- Addr 1385, Offset 105 + x"FF", -- Addr 1386, Offset 106 + x"FF", -- Addr 1387, Offset 107 + x"FF", -- Addr 1388, Offset 108 + x"BE", -- Addr 1389, Offset 109 + x"BE", -- Addr 1390, Offset 110 + x"FF", -- Addr 1391, Offset 111 + x"FA", -- Addr 1392, Offset 112 + x"E4", -- Addr 1393, Offset 113 + x"E0", -- Addr 1394, Offset 114 + x"E9", -- Addr 1395, Offset 115 + x"FB", -- Addr 1396, Offset 116 + x"DB", -- Addr 1397, Offset 117 + x"4F", -- Addr 1398, Offset 118 + x"07", -- Addr 1399, Offset 119 + x"07", -- Addr 1400, Offset 120 + x"B7", -- Addr 1401, Offset 121 + x"FF", -- Addr 1402, Offset 122 + x"FF", -- Addr 1403, Offset 123 + x"DE", -- Addr 1404, Offset 124 + x"5C", -- Addr 1405, Offset 125 + x"5C", -- Addr 1406, Offset 126 + x"DE", -- Addr 1407, Offset 127 + x"FF", -- Addr 1408, Offset 128 + x"ED", -- Addr 1409, Offset 129 + x"E9", -- Addr 1410, Offset 130 + x"FB", -- Addr 1411, Offset 131 + x"FF", -- Addr 1412, Offset 132 + x"73", -- Addr 1413, Offset 133 + x"07", -- Addr 1414, Offset 134 + x"2B", -- Addr 1415, Offset 135 + x"97", -- Addr 1416, Offset 136 + x"FF", -- Addr 1417, Offset 137 + x"FF", -- Addr 1418, Offset 138 + x"FF", -- Addr 1419, Offset 139 + x"7D", -- Addr 1420, Offset 140 + x"5C", -- Addr 1421, Offset 141 + x"5C", -- Addr 1422, Offset 142 + x"DE", -- Addr 1423, Offset 143 + x"FF", -- Addr 1424, Offset 144 + x"FF", -- Addr 1425, Offset 145 + x"FF", -- Addr 1426, Offset 146 + x"FF", -- Addr 1427, Offset 147 + x"DB", -- Addr 1428, Offset 148 + x"4F", -- Addr 1429, Offset 149 + x"2B", -- Addr 1430, Offset 150 + x"DB", -- Addr 1431, Offset 151 + x"FF", -- Addr 1432, Offset 152 + x"FF", -- Addr 1433, Offset 153 + x"FF", -- Addr 1434, Offset 154 + x"BE", -- Addr 1435, Offset 155 + x"5C", -- Addr 1436, Offset 156 + x"5C", -- Addr 1437, Offset 157 + x"9D", -- Addr 1438, Offset 158 + x"FF", -- Addr 1439, Offset 159 + x"FF", -- Addr 1440, Offset 160 + x"FF", -- Addr 1441, Offset 161 + x"FF", -- Addr 1442, Offset 162 + x"FF", -- Addr 1443, Offset 163 + x"93", -- Addr 1444, Offset 164 + x"07", -- Addr 1445, Offset 165 + x"4F", -- Addr 1446, Offset 166 + x"FF", -- Addr 1447, Offset 167 + x"FF", -- Addr 1448, Offset 168 + x"FF", -- Addr 1449, Offset 169 + x"BE", -- Addr 1450, Offset 170 + x"7C", -- Addr 1451, Offset 171 + x"5C", -- Addr 1452, Offset 172 + x"9D", -- Addr 1453, Offset 173 + x"FF", -- Addr 1454, Offset 174 + x"FF", -- Addr 1455, Offset 175 + x"FF", -- Addr 1456, Offset 176 + x"FF", -- Addr 1457, Offset 177 + x"FF", -- Addr 1458, Offset 178 + x"B7", -- Addr 1459, Offset 179 + x"07", -- Addr 1460, Offset 180 + x"07", -- Addr 1461, Offset 181 + x"DB", -- Addr 1462, Offset 182 + x"FF", -- Addr 1463, Offset 183 + x"FF", -- Addr 1464, Offset 184 + x"DE", -- Addr 1465, Offset 185 + x"5C", -- Addr 1466, Offset 186 + x"5C", -- Addr 1467, Offset 187 + x"7D", -- Addr 1468, Offset 188 + x"FF", -- Addr 1469, Offset 189 + x"FF", -- Addr 1470, Offset 190 + x"FF", -- Addr 1471, Offset 191 + x"FF", -- Addr 1472, Offset 192 + x"FF", -- Addr 1473, Offset 193 + x"FF", -- Addr 1474, Offset 194 + x"2B", -- Addr 1475, Offset 195 + x"07", -- Addr 1476, Offset 196 + x"93", -- Addr 1477, Offset 197 + x"FF", -- Addr 1478, Offset 198 + x"FF", -- Addr 1479, Offset 199 + x"DF", -- Addr 1480, Offset 200 + x"7C", -- Addr 1481, Offset 201 + x"5C", -- Addr 1482, Offset 202 + x"9D", -- Addr 1483, Offset 203 + x"FF", -- Addr 1484, Offset 204 + x"FF", -- Addr 1485, Offset 205 + x"FF", -- Addr 1486, Offset 206 + x"FF", -- Addr 1487, Offset 207 + x"FF", -- Addr 1488, Offset 208 + x"FF", -- Addr 1489, Offset 209 + x"FF", -- Addr 1490, Offset 210 + x"B7", -- Addr 1491, Offset 211 + x"73", -- Addr 1492, Offset 212 + x"FF", -- Addr 1493, Offset 213 + x"FF", -- Addr 1494, Offset 214 + x"FF", -- Addr 1495, Offset 215 + x"9D", -- Addr 1496, Offset 216 + x"5C", -- Addr 1497, Offset 217 + x"9D", -- Addr 1498, Offset 218 + x"FF", -- Addr 1499, Offset 219 + x"FF", -- Addr 1500, Offset 220 + x"FF", -- Addr 1501, Offset 221 + x"FF", -- Addr 1502, Offset 222 + x"FF", -- Addr 1503, Offset 223 + x"FF", -- Addr 1504, Offset 224 + x"FF", -- Addr 1505, Offset 225 + x"FF", -- Addr 1506, Offset 226 + x"FF", -- Addr 1507, Offset 227 + x"FF", -- Addr 1508, Offset 228 + x"FF", -- Addr 1509, Offset 229 + x"FF", -- Addr 1510, Offset 230 + x"FF", -- Addr 1511, Offset 231 + x"DF", -- Addr 1512, Offset 232 + x"9D", -- Addr 1513, Offset 233 + x"DF", -- Addr 1514, Offset 234 + x"FF", -- Addr 1515, Offset 235 + x"FF", -- Addr 1516, Offset 236 + x"FF", -- Addr 1517, Offset 237 + x"FF", -- Addr 1518, Offset 238 + x"FF", -- Addr 1519, Offset 239 + x"FF", -- Addr 1520, Offset 240 + x"FF", -- Addr 1521, Offset 241 + x"FF", -- Addr 1522, Offset 242 + x"FF", -- Addr 1523, Offset 243 + x"FF", -- Addr 1524, Offset 244 + x"FF", -- Addr 1525, Offset 245 + x"FF", -- Addr 1526, Offset 246 + x"FF", -- Addr 1527, Offset 247 + x"FF", -- Addr 1528, Offset 248 + x"FF", -- Addr 1529, Offset 249 + x"FF", -- Addr 1530, Offset 250 + x"FF", -- Addr 1531, Offset 251 + x"FF", -- Addr 1532, Offset 252 + x"FF", -- Addr 1533, Offset 253 + x"FF", -- Addr 1534, Offset 254 + x"FF", -- Addr 1535, Offset 255 + + -- Sprite: 6, Basis-Adresse: 1536 + x"FF", -- Addr 1536, Offset 0 + x"FF", -- Addr 1537, Offset 1 + x"FF", -- Addr 1538, Offset 2 + x"FF", -- Addr 1539, Offset 3 + x"FF", -- Addr 1540, Offset 4 + x"FF", -- Addr 1541, Offset 5 + x"FF", -- Addr 1542, Offset 6 + x"FF", -- Addr 1543, Offset 7 + x"FF", -- Addr 1544, Offset 8 + x"FF", -- Addr 1545, Offset 9 + x"FF", -- Addr 1546, Offset 10 + x"FF", -- Addr 1547, Offset 11 + x"FF", -- Addr 1548, Offset 12 + x"FF", -- Addr 1549, Offset 13 + x"FF", -- Addr 1550, Offset 14 + x"FF", -- Addr 1551, Offset 15 + x"FF", -- Addr 1552, Offset 16 + x"FF", -- Addr 1553, Offset 17 + x"FF", -- Addr 1554, Offset 18 + x"FF", -- Addr 1555, Offset 19 + x"FF", -- Addr 1556, Offset 20 + x"FF", -- Addr 1557, Offset 21 + x"FF", -- Addr 1558, Offset 22 + x"FF", -- Addr 1559, Offset 23 + x"FF", -- Addr 1560, Offset 24 + x"FF", -- Addr 1561, Offset 25 + x"FF", -- Addr 1562, Offset 26 + x"FF", -- Addr 1563, Offset 27 + x"FF", -- Addr 1564, Offset 28 + x"FF", -- Addr 1565, Offset 29 + x"FF", -- Addr 1566, Offset 30 + x"FF", -- Addr 1567, Offset 31 + x"FF", -- Addr 1568, Offset 32 + x"FF", -- Addr 1569, Offset 33 + x"FF", -- Addr 1570, Offset 34 + x"FF", -- Addr 1571, Offset 35 + x"F2", -- Addr 1572, Offset 36 + x"F2", -- Addr 1573, Offset 37 + x"FF", -- Addr 1574, Offset 38 + x"FF", -- Addr 1575, Offset 39 + x"FF", -- Addr 1576, Offset 40 + x"FF", -- Addr 1577, Offset 41 + x"FF", -- Addr 1578, Offset 42 + x"FF", -- Addr 1579, Offset 43 + x"FF", -- Addr 1580, Offset 44 + x"FF", -- Addr 1581, Offset 45 + x"FF", -- Addr 1582, Offset 46 + x"FF", -- Addr 1583, Offset 47 + x"FF", -- Addr 1584, Offset 48 + x"FF", -- Addr 1585, Offset 49 + x"FF", -- Addr 1586, Offset 50 + x"F6", -- Addr 1587, Offset 51 + x"E0", -- Addr 1588, Offset 52 + x"E4", -- Addr 1589, Offset 53 + x"FB", -- Addr 1590, Offset 54 + x"FF", -- Addr 1591, Offset 55 + x"FF", -- Addr 1592, Offset 56 + x"FF", -- Addr 1593, Offset 57 + x"FF", -- Addr 1594, Offset 58 + x"FF", -- Addr 1595, Offset 59 + x"FF", -- Addr 1596, Offset 60 + x"FF", -- Addr 1597, Offset 61 + x"FF", -- Addr 1598, Offset 62 + x"FF", -- Addr 1599, Offset 63 + x"FF", -- Addr 1600, Offset 64 + x"FF", -- Addr 1601, Offset 65 + x"FB", -- Addr 1602, Offset 66 + x"E0", -- Addr 1603, Offset 67 + x"E0", -- Addr 1604, Offset 68 + x"E5", -- Addr 1605, Offset 69 + x"FB", -- Addr 1606, Offset 70 + x"FF", -- Addr 1607, Offset 71 + x"93", -- Addr 1608, Offset 72 + x"DB", -- Addr 1609, Offset 73 + x"FF", -- Addr 1610, Offset 74 + x"FF", -- Addr 1611, Offset 75 + x"FF", -- Addr 1612, Offset 76 + x"FF", -- Addr 1613, Offset 77 + x"FF", -- Addr 1614, Offset 78 + x"FF", -- Addr 1615, Offset 79 + x"FF", -- Addr 1616, Offset 80 + x"FF", -- Addr 1617, Offset 81 + x"E9", -- Addr 1618, Offset 82 + x"E0", -- Addr 1619, Offset 83 + x"E4", -- Addr 1620, Offset 84 + x"F6", -- Addr 1621, Offset 85 + x"FF", -- Addr 1622, Offset 86 + x"93", -- Addr 1623, Offset 87 + x"07", -- Addr 1624, Offset 88 + x"4F", -- Addr 1625, Offset 89 + x"FF", -- Addr 1626, Offset 90 + x"FF", -- Addr 1627, Offset 91 + x"FF", -- Addr 1628, Offset 92 + x"FF", -- Addr 1629, Offset 93 + x"FF", -- Addr 1630, Offset 94 + x"FF", -- Addr 1631, Offset 95 + x"FF", -- Addr 1632, Offset 96 + x"ED", -- Addr 1633, Offset 97 + x"E0", -- Addr 1634, Offset 98 + x"E0", -- Addr 1635, Offset 99 + x"F2", -- Addr 1636, Offset 100 + x"FF", -- Addr 1637, Offset 101 + x"DB", -- Addr 1638, Offset 102 + x"2B", -- Addr 1639, Offset 103 + x"07", -- Addr 1640, Offset 104 + x"2B", -- Addr 1641, Offset 105 + x"FF", -- Addr 1642, Offset 106 + x"FF", -- Addr 1643, Offset 107 + x"FF", -- Addr 1644, Offset 108 + x"BE", -- Addr 1645, Offset 109 + x"BE", -- Addr 1646, Offset 110 + x"FF", -- Addr 1647, Offset 111 + x"FA", -- Addr 1648, Offset 112 + x"E4", -- Addr 1649, Offset 113 + x"E0", -- Addr 1650, Offset 114 + x"E9", -- Addr 1651, Offset 115 + x"FB", -- Addr 1652, Offset 116 + x"DB", -- Addr 1653, Offset 117 + x"4F", -- Addr 1654, Offset 118 + x"07", -- Addr 1655, Offset 119 + x"07", -- Addr 1656, Offset 120 + x"B7", -- Addr 1657, Offset 121 + x"FF", -- Addr 1658, Offset 122 + x"FF", -- Addr 1659, Offset 123 + x"DE", -- Addr 1660, Offset 124 + x"5C", -- Addr 1661, Offset 125 + x"5C", -- Addr 1662, Offset 126 + x"DE", -- Addr 1663, Offset 127 + x"FF", -- Addr 1664, Offset 128 + x"ED", -- Addr 1665, Offset 129 + x"E9", -- Addr 1666, Offset 130 + x"FB", -- Addr 1667, Offset 131 + x"FF", -- Addr 1668, Offset 132 + x"73", -- Addr 1669, Offset 133 + x"07", -- Addr 1670, Offset 134 + x"2B", -- Addr 1671, Offset 135 + x"97", -- Addr 1672, Offset 136 + x"FF", -- Addr 1673, Offset 137 + x"FF", -- Addr 1674, Offset 138 + x"FF", -- Addr 1675, Offset 139 + x"7D", -- Addr 1676, Offset 140 + x"5C", -- Addr 1677, Offset 141 + x"5C", -- Addr 1678, Offset 142 + x"DE", -- Addr 1679, Offset 143 + x"FF", -- Addr 1680, Offset 144 + x"FF", -- Addr 1681, Offset 145 + x"FF", -- Addr 1682, Offset 146 + x"FF", -- Addr 1683, Offset 147 + x"DB", -- Addr 1684, Offset 148 + x"4F", -- Addr 1685, Offset 149 + x"2B", -- Addr 1686, Offset 150 + x"DB", -- Addr 1687, Offset 151 + x"FF", -- Addr 1688, Offset 152 + x"FF", -- Addr 1689, Offset 153 + x"FF", -- Addr 1690, Offset 154 + x"BE", -- Addr 1691, Offset 155 + x"5C", -- Addr 1692, Offset 156 + x"5C", -- Addr 1693, Offset 157 + x"9D", -- Addr 1694, Offset 158 + x"FF", -- Addr 1695, Offset 159 + x"FF", -- Addr 1696, Offset 160 + x"FF", -- Addr 1697, Offset 161 + x"FF", -- Addr 1698, Offset 162 + x"FF", -- Addr 1699, Offset 163 + x"93", -- Addr 1700, Offset 164 + x"07", -- Addr 1701, Offset 165 + x"4F", -- Addr 1702, Offset 166 + x"FF", -- Addr 1703, Offset 167 + x"FF", -- Addr 1704, Offset 168 + x"FF", -- Addr 1705, Offset 169 + x"BE", -- Addr 1706, Offset 170 + x"7C", -- Addr 1707, Offset 171 + x"5C", -- Addr 1708, Offset 172 + x"9D", -- Addr 1709, Offset 173 + x"FF", -- Addr 1710, Offset 174 + x"FF", -- Addr 1711, Offset 175 + x"FF", -- Addr 1712, Offset 176 + x"FF", -- Addr 1713, Offset 177 + x"FF", -- Addr 1714, Offset 178 + x"B7", -- Addr 1715, Offset 179 + x"07", -- Addr 1716, Offset 180 + x"07", -- Addr 1717, Offset 181 + x"DB", -- Addr 1718, Offset 182 + x"FF", -- Addr 1719, Offset 183 + x"FF", -- Addr 1720, Offset 184 + x"DE", -- Addr 1721, Offset 185 + x"5C", -- Addr 1722, Offset 186 + x"5C", -- Addr 1723, Offset 187 + x"7D", -- Addr 1724, Offset 188 + x"FF", -- Addr 1725, Offset 189 + x"FF", -- Addr 1726, Offset 190 + x"FF", -- Addr 1727, Offset 191 + x"FF", -- Addr 1728, Offset 192 + x"FF", -- Addr 1729, Offset 193 + x"FF", -- Addr 1730, Offset 194 + x"2B", -- Addr 1731, Offset 195 + x"07", -- Addr 1732, Offset 196 + x"93", -- Addr 1733, Offset 197 + x"FF", -- Addr 1734, Offset 198 + x"FF", -- Addr 1735, Offset 199 + x"DF", -- Addr 1736, Offset 200 + x"7C", -- Addr 1737, Offset 201 + x"5C", -- Addr 1738, Offset 202 + x"9D", -- Addr 1739, Offset 203 + x"FF", -- Addr 1740, Offset 204 + x"FF", -- Addr 1741, Offset 205 + x"FF", -- Addr 1742, Offset 206 + x"FF", -- Addr 1743, Offset 207 + x"FF", -- Addr 1744, Offset 208 + x"FF", -- Addr 1745, Offset 209 + x"FF", -- Addr 1746, Offset 210 + x"B7", -- Addr 1747, Offset 211 + x"73", -- Addr 1748, Offset 212 + x"FF", -- Addr 1749, Offset 213 + x"FF", -- Addr 1750, Offset 214 + x"FF", -- Addr 1751, Offset 215 + x"9D", -- Addr 1752, Offset 216 + x"5C", -- Addr 1753, Offset 217 + x"9D", -- Addr 1754, Offset 218 + x"FF", -- Addr 1755, Offset 219 + x"FF", -- Addr 1756, Offset 220 + x"FF", -- Addr 1757, Offset 221 + x"FF", -- Addr 1758, Offset 222 + x"FF", -- Addr 1759, Offset 223 + x"FF", -- Addr 1760, Offset 224 + x"FF", -- Addr 1761, Offset 225 + x"FF", -- Addr 1762, Offset 226 + x"FF", -- Addr 1763, Offset 227 + x"FF", -- Addr 1764, Offset 228 + x"FF", -- Addr 1765, Offset 229 + x"FF", -- Addr 1766, Offset 230 + x"FF", -- Addr 1767, Offset 231 + x"DF", -- Addr 1768, Offset 232 + x"9D", -- Addr 1769, Offset 233 + x"DF", -- Addr 1770, Offset 234 + x"FF", -- Addr 1771, Offset 235 + x"FF", -- Addr 1772, Offset 236 + x"FF", -- Addr 1773, Offset 237 + x"FF", -- Addr 1774, Offset 238 + x"FF", -- Addr 1775, Offset 239 + x"FF", -- Addr 1776, Offset 240 + x"FF", -- Addr 1777, Offset 241 + x"FF", -- Addr 1778, Offset 242 + x"FF", -- Addr 1779, Offset 243 + x"FF", -- Addr 1780, Offset 244 + x"FF", -- Addr 1781, Offset 245 + x"FF", -- Addr 1782, Offset 246 + x"FF", -- Addr 1783, Offset 247 + x"FF", -- Addr 1784, Offset 248 + x"FF", -- Addr 1785, Offset 249 + x"FF", -- Addr 1786, Offset 250 + x"FF", -- Addr 1787, Offset 251 + x"FF", -- Addr 1788, Offset 252 + x"FF", -- Addr 1789, Offset 253 + x"FF", -- Addr 1790, Offset 254 + x"FF", -- Addr 1791, Offset 255 + + -- Sprite: 7, Basis-Adresse: 1792 + x"FF", -- Addr 1792, Offset 0 + x"FF", -- Addr 1793, Offset 1 + x"FF", -- Addr 1794, Offset 2 + x"FF", -- Addr 1795, Offset 3 + x"FF", -- Addr 1796, Offset 4 + x"FF", -- Addr 1797, Offset 5 + x"FF", -- Addr 1798, Offset 6 + x"FF", -- Addr 1799, Offset 7 + x"FF", -- Addr 1800, Offset 8 + x"FF", -- Addr 1801, Offset 9 + x"FF", -- Addr 1802, Offset 10 + x"FF", -- Addr 1803, Offset 11 + x"FF", -- Addr 1804, Offset 12 + x"FF", -- Addr 1805, Offset 13 + x"FF", -- Addr 1806, Offset 14 + x"FF", -- Addr 1807, Offset 15 + x"FF", -- Addr 1808, Offset 16 + x"FF", -- Addr 1809, Offset 17 + x"FF", -- Addr 1810, Offset 18 + x"FF", -- Addr 1811, Offset 19 + x"FF", -- Addr 1812, Offset 20 + x"FF", -- Addr 1813, Offset 21 + x"FF", -- Addr 1814, Offset 22 + x"FF", -- Addr 1815, Offset 23 + x"FF", -- Addr 1816, Offset 24 + x"FF", -- Addr 1817, Offset 25 + x"FF", -- Addr 1818, Offset 26 + x"FF", -- Addr 1819, Offset 27 + x"FF", -- Addr 1820, Offset 28 + x"FF", -- Addr 1821, Offset 29 + x"FF", -- Addr 1822, Offset 30 + x"FF", -- Addr 1823, Offset 31 + x"FF", -- Addr 1824, Offset 32 + x"FF", -- Addr 1825, Offset 33 + x"FF", -- Addr 1826, Offset 34 + x"FF", -- Addr 1827, Offset 35 + x"F2", -- Addr 1828, Offset 36 + x"F2", -- Addr 1829, Offset 37 + x"FF", -- Addr 1830, Offset 38 + x"FF", -- Addr 1831, Offset 39 + x"FF", -- Addr 1832, Offset 40 + x"FF", -- Addr 1833, Offset 41 + x"FF", -- Addr 1834, Offset 42 + x"FF", -- Addr 1835, Offset 43 + x"FF", -- Addr 1836, Offset 44 + x"FF", -- Addr 1837, Offset 45 + x"FF", -- Addr 1838, Offset 46 + x"FF", -- Addr 1839, Offset 47 + x"FF", -- Addr 1840, Offset 48 + x"FF", -- Addr 1841, Offset 49 + x"FF", -- Addr 1842, Offset 50 + x"F6", -- Addr 1843, Offset 51 + x"E0", -- Addr 1844, Offset 52 + x"E4", -- Addr 1845, Offset 53 + x"FB", -- Addr 1846, Offset 54 + x"FF", -- Addr 1847, Offset 55 + x"FF", -- Addr 1848, Offset 56 + x"FF", -- Addr 1849, Offset 57 + x"FF", -- Addr 1850, Offset 58 + x"FF", -- Addr 1851, Offset 59 + x"FF", -- Addr 1852, Offset 60 + x"FF", -- Addr 1853, Offset 61 + x"FF", -- Addr 1854, Offset 62 + x"FF", -- Addr 1855, Offset 63 + x"FF", -- Addr 1856, Offset 64 + x"FF", -- Addr 1857, Offset 65 + x"FB", -- Addr 1858, Offset 66 + x"E0", -- Addr 1859, Offset 67 + x"E0", -- Addr 1860, Offset 68 + x"E5", -- Addr 1861, Offset 69 + x"FB", -- Addr 1862, Offset 70 + x"FF", -- Addr 1863, Offset 71 + x"93", -- Addr 1864, Offset 72 + x"DB", -- Addr 1865, Offset 73 + x"FF", -- Addr 1866, Offset 74 + x"FF", -- Addr 1867, Offset 75 + x"FF", -- Addr 1868, Offset 76 + x"FF", -- Addr 1869, Offset 77 + x"FF", -- Addr 1870, Offset 78 + x"FF", -- Addr 1871, Offset 79 + x"FF", -- Addr 1872, Offset 80 + x"FF", -- Addr 1873, Offset 81 + x"E9", -- Addr 1874, Offset 82 + x"E0", -- Addr 1875, Offset 83 + x"E4", -- Addr 1876, Offset 84 + x"F6", -- Addr 1877, Offset 85 + x"FF", -- Addr 1878, Offset 86 + x"93", -- Addr 1879, Offset 87 + x"07", -- Addr 1880, Offset 88 + x"4F", -- Addr 1881, Offset 89 + x"FF", -- Addr 1882, Offset 90 + x"FF", -- Addr 1883, Offset 91 + x"FF", -- Addr 1884, Offset 92 + x"FF", -- Addr 1885, Offset 93 + x"FF", -- Addr 1886, Offset 94 + x"FF", -- Addr 1887, Offset 95 + x"FF", -- Addr 1888, Offset 96 + x"ED", -- Addr 1889, Offset 97 + x"E0", -- Addr 1890, Offset 98 + x"E0", -- Addr 1891, Offset 99 + x"F2", -- Addr 1892, Offset 100 + x"FF", -- Addr 1893, Offset 101 + x"DB", -- Addr 1894, Offset 102 + x"2B", -- Addr 1895, Offset 103 + x"07", -- Addr 1896, Offset 104 + x"2B", -- Addr 1897, Offset 105 + x"FF", -- Addr 1898, Offset 106 + x"FF", -- Addr 1899, Offset 107 + x"FF", -- Addr 1900, Offset 108 + x"BE", -- Addr 1901, Offset 109 + x"BE", -- Addr 1902, Offset 110 + x"FF", -- Addr 1903, Offset 111 + x"FA", -- Addr 1904, Offset 112 + x"E4", -- Addr 1905, Offset 113 + x"E0", -- Addr 1906, Offset 114 + x"E9", -- Addr 1907, Offset 115 + x"FB", -- Addr 1908, Offset 116 + x"DB", -- Addr 1909, Offset 117 + x"4F", -- Addr 1910, Offset 118 + x"07", -- Addr 1911, Offset 119 + x"07", -- Addr 1912, Offset 120 + x"B7", -- Addr 1913, Offset 121 + x"FF", -- Addr 1914, Offset 122 + x"FF", -- Addr 1915, Offset 123 + x"DE", -- Addr 1916, Offset 124 + x"5C", -- Addr 1917, Offset 125 + x"5C", -- Addr 1918, Offset 126 + x"DE", -- Addr 1919, Offset 127 + x"FF", -- Addr 1920, Offset 128 + x"ED", -- Addr 1921, Offset 129 + x"E9", -- Addr 1922, Offset 130 + x"FB", -- Addr 1923, Offset 131 + x"FF", -- Addr 1924, Offset 132 + x"73", -- Addr 1925, Offset 133 + x"07", -- Addr 1926, Offset 134 + x"2B", -- Addr 1927, Offset 135 + x"97", -- Addr 1928, Offset 136 + x"FF", -- Addr 1929, Offset 137 + x"FF", -- Addr 1930, Offset 138 + x"FF", -- Addr 1931, Offset 139 + x"7D", -- Addr 1932, Offset 140 + x"5C", -- Addr 1933, Offset 141 + x"5C", -- Addr 1934, Offset 142 + x"DE", -- Addr 1935, Offset 143 + x"FF", -- Addr 1936, Offset 144 + x"FF", -- Addr 1937, Offset 145 + x"FF", -- Addr 1938, Offset 146 + x"FF", -- Addr 1939, Offset 147 + x"DB", -- Addr 1940, Offset 148 + x"4F", -- Addr 1941, Offset 149 + x"2B", -- Addr 1942, Offset 150 + x"DB", -- Addr 1943, Offset 151 + x"FF", -- Addr 1944, Offset 152 + x"FF", -- Addr 1945, Offset 153 + x"FF", -- Addr 1946, Offset 154 + x"BE", -- Addr 1947, Offset 155 + x"5C", -- Addr 1948, Offset 156 + x"5C", -- Addr 1949, Offset 157 + x"9D", -- Addr 1950, Offset 158 + x"FF", -- Addr 1951, Offset 159 + x"FF", -- Addr 1952, Offset 160 + x"FF", -- Addr 1953, Offset 161 + x"FF", -- Addr 1954, Offset 162 + x"FF", -- Addr 1955, Offset 163 + x"93", -- Addr 1956, Offset 164 + x"07", -- Addr 1957, Offset 165 + x"4F", -- Addr 1958, Offset 166 + x"FF", -- Addr 1959, Offset 167 + x"FF", -- Addr 1960, Offset 168 + x"FF", -- Addr 1961, Offset 169 + x"BE", -- Addr 1962, Offset 170 + x"7C", -- Addr 1963, Offset 171 + x"5C", -- Addr 1964, Offset 172 + x"9D", -- Addr 1965, Offset 173 + x"FF", -- Addr 1966, Offset 174 + x"FF", -- Addr 1967, Offset 175 + x"FF", -- Addr 1968, Offset 176 + x"FF", -- Addr 1969, Offset 177 + x"FF", -- Addr 1970, Offset 178 + x"B7", -- Addr 1971, Offset 179 + x"07", -- Addr 1972, Offset 180 + x"07", -- Addr 1973, Offset 181 + x"DB", -- Addr 1974, Offset 182 + x"FF", -- Addr 1975, Offset 183 + x"FF", -- Addr 1976, Offset 184 + x"DE", -- Addr 1977, Offset 185 + x"5C", -- Addr 1978, Offset 186 + x"5C", -- Addr 1979, Offset 187 + x"7D", -- Addr 1980, Offset 188 + x"FF", -- Addr 1981, Offset 189 + x"FF", -- Addr 1982, Offset 190 + x"FF", -- Addr 1983, Offset 191 + x"FF", -- Addr 1984, Offset 192 + x"FF", -- Addr 1985, Offset 193 + x"FF", -- Addr 1986, Offset 194 + x"2B", -- Addr 1987, Offset 195 + x"07", -- Addr 1988, Offset 196 + x"93", -- Addr 1989, Offset 197 + x"FF", -- Addr 1990, Offset 198 + x"FF", -- Addr 1991, Offset 199 + x"DF", -- Addr 1992, Offset 200 + x"7C", -- Addr 1993, Offset 201 + x"5C", -- Addr 1994, Offset 202 + x"9D", -- Addr 1995, Offset 203 + x"FF", -- Addr 1996, Offset 204 + x"FF", -- Addr 1997, Offset 205 + x"FF", -- Addr 1998, Offset 206 + x"FF", -- Addr 1999, Offset 207 + x"FF", -- Addr 2000, Offset 208 + x"FF", -- Addr 2001, Offset 209 + x"FF", -- Addr 2002, Offset 210 + x"B7", -- Addr 2003, Offset 211 + x"73", -- Addr 2004, Offset 212 + x"FF", -- Addr 2005, Offset 213 + x"FF", -- Addr 2006, Offset 214 + x"FF", -- Addr 2007, Offset 215 + x"9D", -- Addr 2008, Offset 216 + x"5C", -- Addr 2009, Offset 217 + x"9D", -- Addr 2010, Offset 218 + x"FF", -- Addr 2011, Offset 219 + x"FF", -- Addr 2012, Offset 220 + x"FF", -- Addr 2013, Offset 221 + x"FF", -- Addr 2014, Offset 222 + x"FF", -- Addr 2015, Offset 223 + x"FF", -- Addr 2016, Offset 224 + x"FF", -- Addr 2017, Offset 225 + x"FF", -- Addr 2018, Offset 226 + x"FF", -- Addr 2019, Offset 227 + x"FF", -- Addr 2020, Offset 228 + x"FF", -- Addr 2021, Offset 229 + x"FF", -- Addr 2022, Offset 230 + x"FF", -- Addr 2023, Offset 231 + x"DF", -- Addr 2024, Offset 232 + x"9D", -- Addr 2025, Offset 233 + x"DF", -- Addr 2026, Offset 234 + x"FF", -- Addr 2027, Offset 235 + x"FF", -- Addr 2028, Offset 236 + x"FF", -- Addr 2029, Offset 237 + x"FF", -- Addr 2030, Offset 238 + x"FF", -- Addr 2031, Offset 239 + x"FF", -- Addr 2032, Offset 240 + x"FF", -- Addr 2033, Offset 241 + x"FF", -- Addr 2034, Offset 242 + x"FF", -- Addr 2035, Offset 243 + x"FF", -- Addr 2036, Offset 244 + x"FF", -- Addr 2037, Offset 245 + x"FF", -- Addr 2038, Offset 246 + x"FF", -- Addr 2039, Offset 247 + x"FF", -- Addr 2040, Offset 248 + x"FF", -- Addr 2041, Offset 249 + x"FF", -- Addr 2042, Offset 250 + x"FF", -- Addr 2043, Offset 251 + x"FF", -- Addr 2044, Offset 252 + x"FF", -- Addr 2045, Offset 253 + x"FF", -- Addr 2046, Offset 254 + x"FF", -- Addr 2047, Offset 255 + + -- Sprite: 8, Basis-Adresse: 2048 + x"FF", -- Addr 2048, Offset 0 + x"FF", -- Addr 2049, Offset 1 + x"FF", -- Addr 2050, Offset 2 + x"FF", -- Addr 2051, Offset 3 + x"FF", -- Addr 2052, Offset 4 + x"FF", -- Addr 2053, Offset 5 + x"FF", -- Addr 2054, Offset 6 + x"FF", -- Addr 2055, Offset 7 + x"FF", -- Addr 2056, Offset 8 + x"FF", -- Addr 2057, Offset 9 + x"FF", -- Addr 2058, Offset 10 + x"FF", -- Addr 2059, Offset 11 + x"FF", -- Addr 2060, Offset 12 + x"FF", -- Addr 2061, Offset 13 + x"FF", -- Addr 2062, Offset 14 + x"FF", -- Addr 2063, Offset 15 + x"FF", -- Addr 2064, Offset 16 + x"FF", -- Addr 2065, Offset 17 + x"FF", -- Addr 2066, Offset 18 + x"FF", -- Addr 2067, Offset 19 + x"FF", -- Addr 2068, Offset 20 + x"FF", -- Addr 2069, Offset 21 + x"FF", -- Addr 2070, Offset 22 + x"FF", -- Addr 2071, Offset 23 + x"FF", -- Addr 2072, Offset 24 + x"FF", -- Addr 2073, Offset 25 + x"FF", -- Addr 2074, Offset 26 + x"FF", -- Addr 2075, Offset 27 + x"FF", -- Addr 2076, Offset 28 + x"FF", -- Addr 2077, Offset 29 + x"FF", -- Addr 2078, Offset 30 + x"FF", -- Addr 2079, Offset 31 + x"FF", -- Addr 2080, Offset 32 + x"FF", -- Addr 2081, Offset 33 + x"FF", -- Addr 2082, Offset 34 + x"FF", -- Addr 2083, Offset 35 + x"F2", -- Addr 2084, Offset 36 + x"F2", -- Addr 2085, Offset 37 + x"FF", -- Addr 2086, Offset 38 + x"FF", -- Addr 2087, Offset 39 + x"FF", -- Addr 2088, Offset 40 + x"FF", -- Addr 2089, Offset 41 + x"FF", -- Addr 2090, Offset 42 + x"FF", -- Addr 2091, Offset 43 + x"FF", -- Addr 2092, Offset 44 + x"FF", -- Addr 2093, Offset 45 + x"FF", -- Addr 2094, Offset 46 + x"FF", -- Addr 2095, Offset 47 + x"FF", -- Addr 2096, Offset 48 + x"FF", -- Addr 2097, Offset 49 + x"FF", -- Addr 2098, Offset 50 + x"F6", -- Addr 2099, Offset 51 + x"E0", -- Addr 2100, Offset 52 + x"E4", -- Addr 2101, Offset 53 + x"FB", -- Addr 2102, Offset 54 + x"FF", -- Addr 2103, Offset 55 + x"FF", -- Addr 2104, Offset 56 + x"FF", -- Addr 2105, Offset 57 + x"FF", -- Addr 2106, Offset 58 + x"FF", -- Addr 2107, Offset 59 + x"FF", -- Addr 2108, Offset 60 + x"FF", -- Addr 2109, Offset 61 + x"FF", -- Addr 2110, Offset 62 + x"FF", -- Addr 2111, Offset 63 + x"FF", -- Addr 2112, Offset 64 + x"FF", -- Addr 2113, Offset 65 + x"FB", -- Addr 2114, Offset 66 + x"E0", -- Addr 2115, Offset 67 + x"E0", -- Addr 2116, Offset 68 + x"E5", -- Addr 2117, Offset 69 + x"FB", -- Addr 2118, Offset 70 + x"FF", -- Addr 2119, Offset 71 + x"93", -- Addr 2120, Offset 72 + x"DB", -- Addr 2121, Offset 73 + x"FF", -- Addr 2122, Offset 74 + x"FF", -- Addr 2123, Offset 75 + x"FF", -- Addr 2124, Offset 76 + x"FF", -- Addr 2125, Offset 77 + x"FF", -- Addr 2126, Offset 78 + x"FF", -- Addr 2127, Offset 79 + x"FF", -- Addr 2128, Offset 80 + x"FF", -- Addr 2129, Offset 81 + x"E9", -- Addr 2130, Offset 82 + x"E0", -- Addr 2131, Offset 83 + x"E4", -- Addr 2132, Offset 84 + x"F6", -- Addr 2133, Offset 85 + x"FF", -- Addr 2134, Offset 86 + x"93", -- Addr 2135, Offset 87 + x"07", -- Addr 2136, Offset 88 + x"4F", -- Addr 2137, Offset 89 + x"FF", -- Addr 2138, Offset 90 + x"FF", -- Addr 2139, Offset 91 + x"FF", -- Addr 2140, Offset 92 + x"FF", -- Addr 2141, Offset 93 + x"FF", -- Addr 2142, Offset 94 + x"FF", -- Addr 2143, Offset 95 + x"FF", -- Addr 2144, Offset 96 + x"ED", -- Addr 2145, Offset 97 + x"E0", -- Addr 2146, Offset 98 + x"E0", -- Addr 2147, Offset 99 + x"F2", -- Addr 2148, Offset 100 + x"FF", -- Addr 2149, Offset 101 + x"DB", -- Addr 2150, Offset 102 + x"2B", -- Addr 2151, Offset 103 + x"07", -- Addr 2152, Offset 104 + x"2B", -- Addr 2153, Offset 105 + x"FF", -- Addr 2154, Offset 106 + x"FF", -- Addr 2155, Offset 107 + x"FF", -- Addr 2156, Offset 108 + x"BE", -- Addr 2157, Offset 109 + x"BE", -- Addr 2158, Offset 110 + x"FF", -- Addr 2159, Offset 111 + x"FA", -- Addr 2160, Offset 112 + x"E4", -- Addr 2161, Offset 113 + x"E0", -- Addr 2162, Offset 114 + x"E9", -- Addr 2163, Offset 115 + x"FB", -- Addr 2164, Offset 116 + x"DB", -- Addr 2165, Offset 117 + x"4F", -- Addr 2166, Offset 118 + x"07", -- Addr 2167, Offset 119 + x"07", -- Addr 2168, Offset 120 + x"B7", -- Addr 2169, Offset 121 + x"FF", -- Addr 2170, Offset 122 + x"FF", -- Addr 2171, Offset 123 + x"DE", -- Addr 2172, Offset 124 + x"5C", -- Addr 2173, Offset 125 + x"5C", -- Addr 2174, Offset 126 + x"DE", -- Addr 2175, Offset 127 + x"FF", -- Addr 2176, Offset 128 + x"ED", -- Addr 2177, Offset 129 + x"E9", -- Addr 2178, Offset 130 + x"FB", -- Addr 2179, Offset 131 + x"FF", -- Addr 2180, Offset 132 + x"73", -- Addr 2181, Offset 133 + x"07", -- Addr 2182, Offset 134 + x"2B", -- Addr 2183, Offset 135 + x"97", -- Addr 2184, Offset 136 + x"FF", -- Addr 2185, Offset 137 + x"FF", -- Addr 2186, Offset 138 + x"FF", -- Addr 2187, Offset 139 + x"7D", -- Addr 2188, Offset 140 + x"5C", -- Addr 2189, Offset 141 + x"5C", -- Addr 2190, Offset 142 + x"DE", -- Addr 2191, Offset 143 + x"FF", -- Addr 2192, Offset 144 + x"FF", -- Addr 2193, Offset 145 + x"FF", -- Addr 2194, Offset 146 + x"FF", -- Addr 2195, Offset 147 + x"DB", -- Addr 2196, Offset 148 + x"4F", -- Addr 2197, Offset 149 + x"2B", -- Addr 2198, Offset 150 + x"DB", -- Addr 2199, Offset 151 + x"FF", -- Addr 2200, Offset 152 + x"FF", -- Addr 2201, Offset 153 + x"FF", -- Addr 2202, Offset 154 + x"BE", -- Addr 2203, Offset 155 + x"5C", -- Addr 2204, Offset 156 + x"5C", -- Addr 2205, Offset 157 + x"9D", -- Addr 2206, Offset 158 + x"FF", -- Addr 2207, Offset 159 + x"FF", -- Addr 2208, Offset 160 + x"FF", -- Addr 2209, Offset 161 + x"FF", -- Addr 2210, Offset 162 + x"FF", -- Addr 2211, Offset 163 + x"93", -- Addr 2212, Offset 164 + x"07", -- Addr 2213, Offset 165 + x"4F", -- Addr 2214, Offset 166 + x"FF", -- Addr 2215, Offset 167 + x"FF", -- Addr 2216, Offset 168 + x"FF", -- Addr 2217, Offset 169 + x"BE", -- Addr 2218, Offset 170 + x"7C", -- Addr 2219, Offset 171 + x"5C", -- Addr 2220, Offset 172 + x"9D", -- Addr 2221, Offset 173 + x"FF", -- Addr 2222, Offset 174 + x"FF", -- Addr 2223, Offset 175 + x"FF", -- Addr 2224, Offset 176 + x"FF", -- Addr 2225, Offset 177 + x"FF", -- Addr 2226, Offset 178 + x"B7", -- Addr 2227, Offset 179 + x"07", -- Addr 2228, Offset 180 + x"07", -- Addr 2229, Offset 181 + x"DB", -- Addr 2230, Offset 182 + x"FF", -- Addr 2231, Offset 183 + x"FF", -- Addr 2232, Offset 184 + x"DE", -- Addr 2233, Offset 185 + x"5C", -- Addr 2234, Offset 186 + x"5C", -- Addr 2235, Offset 187 + x"7D", -- Addr 2236, Offset 188 + x"FF", -- Addr 2237, Offset 189 + x"FF", -- Addr 2238, Offset 190 + x"FF", -- Addr 2239, Offset 191 + x"FF", -- Addr 2240, Offset 192 + x"FF", -- Addr 2241, Offset 193 + x"FF", -- Addr 2242, Offset 194 + x"2B", -- Addr 2243, Offset 195 + x"07", -- Addr 2244, Offset 196 + x"93", -- Addr 2245, Offset 197 + x"FF", -- Addr 2246, Offset 198 + x"FF", -- Addr 2247, Offset 199 + x"DF", -- Addr 2248, Offset 200 + x"7C", -- Addr 2249, Offset 201 + x"5C", -- Addr 2250, Offset 202 + x"9D", -- Addr 2251, Offset 203 + x"FF", -- Addr 2252, Offset 204 + x"FF", -- Addr 2253, Offset 205 + x"FF", -- Addr 2254, Offset 206 + x"FF", -- Addr 2255, Offset 207 + x"FF", -- Addr 2256, Offset 208 + x"FF", -- Addr 2257, Offset 209 + x"FF", -- Addr 2258, Offset 210 + x"B7", -- Addr 2259, Offset 211 + x"73", -- Addr 2260, Offset 212 + x"FF", -- Addr 2261, Offset 213 + x"FF", -- Addr 2262, Offset 214 + x"FF", -- Addr 2263, Offset 215 + x"9D", -- Addr 2264, Offset 216 + x"5C", -- Addr 2265, Offset 217 + x"9D", -- Addr 2266, Offset 218 + x"FF", -- Addr 2267, Offset 219 + x"FF", -- Addr 2268, Offset 220 + x"FF", -- Addr 2269, Offset 221 + x"FF", -- Addr 2270, Offset 222 + x"FF", -- Addr 2271, Offset 223 + x"FF", -- Addr 2272, Offset 224 + x"FF", -- Addr 2273, Offset 225 + x"FF", -- Addr 2274, Offset 226 + x"FF", -- Addr 2275, Offset 227 + x"FF", -- Addr 2276, Offset 228 + x"FF", -- Addr 2277, Offset 229 + x"FF", -- Addr 2278, Offset 230 + x"FF", -- Addr 2279, Offset 231 + x"DF", -- Addr 2280, Offset 232 + x"9D", -- Addr 2281, Offset 233 + x"DF", -- Addr 2282, Offset 234 + x"FF", -- Addr 2283, Offset 235 + x"FF", -- Addr 2284, Offset 236 + x"FF", -- Addr 2285, Offset 237 + x"FF", -- Addr 2286, Offset 238 + x"FF", -- Addr 2287, Offset 239 + x"FF", -- Addr 2288, Offset 240 + x"FF", -- Addr 2289, Offset 241 + x"FF", -- Addr 2290, Offset 242 + x"FF", -- Addr 2291, Offset 243 + x"FF", -- Addr 2292, Offset 244 + x"FF", -- Addr 2293, Offset 245 + x"FF", -- Addr 2294, Offset 246 + x"FF", -- Addr 2295, Offset 247 + x"FF", -- Addr 2296, Offset 248 + x"FF", -- Addr 2297, Offset 249 + x"FF", -- Addr 2298, Offset 250 + x"FF", -- Addr 2299, Offset 251 + x"FF", -- Addr 2300, Offset 252 + x"FF", -- Addr 2301, Offset 253 + x"FF", -- Addr 2302, Offset 254 + x"FF", -- Addr 2303, Offset 255 + + -- Sprite: 9, Basis-Adresse: 2304 + x"FF", -- Addr 2304, Offset 0 + x"FF", -- Addr 2305, Offset 1 + x"FF", -- Addr 2306, Offset 2 + x"FF", -- Addr 2307, Offset 3 + x"FF", -- Addr 2308, Offset 4 + x"FF", -- Addr 2309, Offset 5 + x"FF", -- Addr 2310, Offset 6 + x"FF", -- Addr 2311, Offset 7 + x"FF", -- Addr 2312, Offset 8 + x"FF", -- Addr 2313, Offset 9 + x"FF", -- Addr 2314, Offset 10 + x"FF", -- Addr 2315, Offset 11 + x"FF", -- Addr 2316, Offset 12 + x"FF", -- Addr 2317, Offset 13 + x"FF", -- Addr 2318, Offset 14 + x"FF", -- Addr 2319, Offset 15 + x"FF", -- Addr 2320, Offset 16 + x"FF", -- Addr 2321, Offset 17 + x"FF", -- Addr 2322, Offset 18 + x"FF", -- Addr 2323, Offset 19 + x"FF", -- Addr 2324, Offset 20 + x"FF", -- Addr 2325, Offset 21 + x"FF", -- Addr 2326, Offset 22 + x"FF", -- Addr 2327, Offset 23 + x"FF", -- Addr 2328, Offset 24 + x"FF", -- Addr 2329, Offset 25 + x"FF", -- Addr 2330, Offset 26 + x"FF", -- Addr 2331, Offset 27 + x"FF", -- Addr 2332, Offset 28 + x"FF", -- Addr 2333, Offset 29 + x"FF", -- Addr 2334, Offset 30 + x"FF", -- Addr 2335, Offset 31 + x"FF", -- Addr 2336, Offset 32 + x"FF", -- Addr 2337, Offset 33 + x"FF", -- Addr 2338, Offset 34 + x"FF", -- Addr 2339, Offset 35 + x"F2", -- Addr 2340, Offset 36 + x"F2", -- Addr 2341, Offset 37 + x"FF", -- Addr 2342, Offset 38 + x"FF", -- Addr 2343, Offset 39 + x"FF", -- Addr 2344, Offset 40 + x"FF", -- Addr 2345, Offset 41 + x"FF", -- Addr 2346, Offset 42 + x"FF", -- Addr 2347, Offset 43 + x"FF", -- Addr 2348, Offset 44 + x"FF", -- Addr 2349, Offset 45 + x"FF", -- Addr 2350, Offset 46 + x"FF", -- Addr 2351, Offset 47 + x"FF", -- Addr 2352, Offset 48 + x"FF", -- Addr 2353, Offset 49 + x"FF", -- Addr 2354, Offset 50 + x"F6", -- Addr 2355, Offset 51 + x"E0", -- Addr 2356, Offset 52 + x"E4", -- Addr 2357, Offset 53 + x"FB", -- Addr 2358, Offset 54 + x"FF", -- Addr 2359, Offset 55 + x"FF", -- Addr 2360, Offset 56 + x"FF", -- Addr 2361, Offset 57 + x"FF", -- Addr 2362, Offset 58 + x"FF", -- Addr 2363, Offset 59 + x"FF", -- Addr 2364, Offset 60 + x"FF", -- Addr 2365, Offset 61 + x"FF", -- Addr 2366, Offset 62 + x"FF", -- Addr 2367, Offset 63 + x"FF", -- Addr 2368, Offset 64 + x"FF", -- Addr 2369, Offset 65 + x"FB", -- Addr 2370, Offset 66 + x"E0", -- Addr 2371, Offset 67 + x"E0", -- Addr 2372, Offset 68 + x"E5", -- Addr 2373, Offset 69 + x"FB", -- Addr 2374, Offset 70 + x"FF", -- Addr 2375, Offset 71 + x"93", -- Addr 2376, Offset 72 + x"DB", -- Addr 2377, Offset 73 + x"FF", -- Addr 2378, Offset 74 + x"FF", -- Addr 2379, Offset 75 + x"FF", -- Addr 2380, Offset 76 + x"FF", -- Addr 2381, Offset 77 + x"FF", -- Addr 2382, Offset 78 + x"FF", -- Addr 2383, Offset 79 + x"FF", -- Addr 2384, Offset 80 + x"FF", -- Addr 2385, Offset 81 + x"E9", -- Addr 2386, Offset 82 + x"E0", -- Addr 2387, Offset 83 + x"E4", -- Addr 2388, Offset 84 + x"F6", -- Addr 2389, Offset 85 + x"FF", -- Addr 2390, Offset 86 + x"93", -- Addr 2391, Offset 87 + x"07", -- Addr 2392, Offset 88 + x"4F", -- Addr 2393, Offset 89 + x"FF", -- Addr 2394, Offset 90 + x"FF", -- Addr 2395, Offset 91 + x"FF", -- Addr 2396, Offset 92 + x"FF", -- Addr 2397, Offset 93 + x"FF", -- Addr 2398, Offset 94 + x"FF", -- Addr 2399, Offset 95 + x"FF", -- Addr 2400, Offset 96 + x"ED", -- Addr 2401, Offset 97 + x"E0", -- Addr 2402, Offset 98 + x"E0", -- Addr 2403, Offset 99 + x"F2", -- Addr 2404, Offset 100 + x"FF", -- Addr 2405, Offset 101 + x"DB", -- Addr 2406, Offset 102 + x"2B", -- Addr 2407, Offset 103 + x"07", -- Addr 2408, Offset 104 + x"2B", -- Addr 2409, Offset 105 + x"FF", -- Addr 2410, Offset 106 + x"FF", -- Addr 2411, Offset 107 + x"FF", -- Addr 2412, Offset 108 + x"BE", -- Addr 2413, Offset 109 + x"BE", -- Addr 2414, Offset 110 + x"FF", -- Addr 2415, Offset 111 + x"FA", -- Addr 2416, Offset 112 + x"E4", -- Addr 2417, Offset 113 + x"E0", -- Addr 2418, Offset 114 + x"E9", -- Addr 2419, Offset 115 + x"FB", -- Addr 2420, Offset 116 + x"DB", -- Addr 2421, Offset 117 + x"4F", -- Addr 2422, Offset 118 + x"07", -- Addr 2423, Offset 119 + x"07", -- Addr 2424, Offset 120 + x"B7", -- Addr 2425, Offset 121 + x"FF", -- Addr 2426, Offset 122 + x"FF", -- Addr 2427, Offset 123 + x"DE", -- Addr 2428, Offset 124 + x"5C", -- Addr 2429, Offset 125 + x"5C", -- Addr 2430, Offset 126 + x"DE", -- Addr 2431, Offset 127 + x"FF", -- Addr 2432, Offset 128 + x"ED", -- Addr 2433, Offset 129 + x"E9", -- Addr 2434, Offset 130 + x"FB", -- Addr 2435, Offset 131 + x"FF", -- Addr 2436, Offset 132 + x"73", -- Addr 2437, Offset 133 + x"07", -- Addr 2438, Offset 134 + x"2B", -- Addr 2439, Offset 135 + x"97", -- Addr 2440, Offset 136 + x"FF", -- Addr 2441, Offset 137 + x"FF", -- Addr 2442, Offset 138 + x"FF", -- Addr 2443, Offset 139 + x"7D", -- Addr 2444, Offset 140 + x"5C", -- Addr 2445, Offset 141 + x"5C", -- Addr 2446, Offset 142 + x"DE", -- Addr 2447, Offset 143 + x"FF", -- Addr 2448, Offset 144 + x"FF", -- Addr 2449, Offset 145 + x"FF", -- Addr 2450, Offset 146 + x"FF", -- Addr 2451, Offset 147 + x"DB", -- Addr 2452, Offset 148 + x"4F", -- Addr 2453, Offset 149 + x"2B", -- Addr 2454, Offset 150 + x"DB", -- Addr 2455, Offset 151 + x"FF", -- Addr 2456, Offset 152 + x"FF", -- Addr 2457, Offset 153 + x"FF", -- Addr 2458, Offset 154 + x"BE", -- Addr 2459, Offset 155 + x"5C", -- Addr 2460, Offset 156 + x"5C", -- Addr 2461, Offset 157 + x"9D", -- Addr 2462, Offset 158 + x"FF", -- Addr 2463, Offset 159 + x"FF", -- Addr 2464, Offset 160 + x"FF", -- Addr 2465, Offset 161 + x"FF", -- Addr 2466, Offset 162 + x"FF", -- Addr 2467, Offset 163 + x"93", -- Addr 2468, Offset 164 + x"07", -- Addr 2469, Offset 165 + x"4F", -- Addr 2470, Offset 166 + x"FF", -- Addr 2471, Offset 167 + x"FF", -- Addr 2472, Offset 168 + x"FF", -- Addr 2473, Offset 169 + x"BE", -- Addr 2474, Offset 170 + x"7C", -- Addr 2475, Offset 171 + x"5C", -- Addr 2476, Offset 172 + x"9D", -- Addr 2477, Offset 173 + x"FF", -- Addr 2478, Offset 174 + x"FF", -- Addr 2479, Offset 175 + x"FF", -- Addr 2480, Offset 176 + x"FF", -- Addr 2481, Offset 177 + x"FF", -- Addr 2482, Offset 178 + x"B7", -- Addr 2483, Offset 179 + x"07", -- Addr 2484, Offset 180 + x"07", -- Addr 2485, Offset 181 + x"DB", -- Addr 2486, Offset 182 + x"FF", -- Addr 2487, Offset 183 + x"FF", -- Addr 2488, Offset 184 + x"DE", -- Addr 2489, Offset 185 + x"5C", -- Addr 2490, Offset 186 + x"5C", -- Addr 2491, Offset 187 + x"7D", -- Addr 2492, Offset 188 + x"FF", -- Addr 2493, Offset 189 + x"FF", -- Addr 2494, Offset 190 + x"FF", -- Addr 2495, Offset 191 + x"FF", -- Addr 2496, Offset 192 + x"FF", -- Addr 2497, Offset 193 + x"FF", -- Addr 2498, Offset 194 + x"2B", -- Addr 2499, Offset 195 + x"07", -- Addr 2500, Offset 196 + x"93", -- Addr 2501, Offset 197 + x"FF", -- Addr 2502, Offset 198 + x"FF", -- Addr 2503, Offset 199 + x"DF", -- Addr 2504, Offset 200 + x"7C", -- Addr 2505, Offset 201 + x"5C", -- Addr 2506, Offset 202 + x"9D", -- Addr 2507, Offset 203 + x"FF", -- Addr 2508, Offset 204 + x"FF", -- Addr 2509, Offset 205 + x"FF", -- Addr 2510, Offset 206 + x"FF", -- Addr 2511, Offset 207 + x"FF", -- Addr 2512, Offset 208 + x"FF", -- Addr 2513, Offset 209 + x"FF", -- Addr 2514, Offset 210 + x"B7", -- Addr 2515, Offset 211 + x"73", -- Addr 2516, Offset 212 + x"FF", -- Addr 2517, Offset 213 + x"FF", -- Addr 2518, Offset 214 + x"FF", -- Addr 2519, Offset 215 + x"9D", -- Addr 2520, Offset 216 + x"5C", -- Addr 2521, Offset 217 + x"9D", -- Addr 2522, Offset 218 + x"FF", -- Addr 2523, Offset 219 + x"FF", -- Addr 2524, Offset 220 + x"FF", -- Addr 2525, Offset 221 + x"FF", -- Addr 2526, Offset 222 + x"FF", -- Addr 2527, Offset 223 + x"FF", -- Addr 2528, Offset 224 + x"FF", -- Addr 2529, Offset 225 + x"FF", -- Addr 2530, Offset 226 + x"FF", -- Addr 2531, Offset 227 + x"FF", -- Addr 2532, Offset 228 + x"FF", -- Addr 2533, Offset 229 + x"FF", -- Addr 2534, Offset 230 + x"FF", -- Addr 2535, Offset 231 + x"DF", -- Addr 2536, Offset 232 + x"9D", -- Addr 2537, Offset 233 + x"DF", -- Addr 2538, Offset 234 + x"FF", -- Addr 2539, Offset 235 + x"FF", -- Addr 2540, Offset 236 + x"FF", -- Addr 2541, Offset 237 + x"FF", -- Addr 2542, Offset 238 + x"FF", -- Addr 2543, Offset 239 + x"FF", -- Addr 2544, Offset 240 + x"FF", -- Addr 2545, Offset 241 + x"FF", -- Addr 2546, Offset 242 + x"FF", -- Addr 2547, Offset 243 + x"FF", -- Addr 2548, Offset 244 + x"FF", -- Addr 2549, Offset 245 + x"FF", -- Addr 2550, Offset 246 + x"FF", -- Addr 2551, Offset 247 + x"FF", -- Addr 2552, Offset 248 + x"FF", -- Addr 2553, Offset 249 + x"FF", -- Addr 2554, Offset 250 + x"FF", -- Addr 2555, Offset 251 + x"FF", -- Addr 2556, Offset 252 + x"FF", -- Addr 2557, Offset 253 + x"FF", -- Addr 2558, Offset 254 + x"FF", -- Addr 2559, Offset 255 + + -- Sprite: 10, Basis-Adresse: 2560 + x"FF", -- Addr 2560, Offset 0 + x"FF", -- Addr 2561, Offset 1 + x"FF", -- Addr 2562, Offset 2 + x"FF", -- Addr 2563, Offset 3 + x"FF", -- Addr 2564, Offset 4 + x"FF", -- Addr 2565, Offset 5 + x"FF", -- Addr 2566, Offset 6 + x"FF", -- Addr 2567, Offset 7 + x"FF", -- Addr 2568, Offset 8 + x"FF", -- Addr 2569, Offset 9 + x"FF", -- Addr 2570, Offset 10 + x"FF", -- Addr 2571, Offset 11 + x"FF", -- Addr 2572, Offset 12 + x"FF", -- Addr 2573, Offset 13 + x"FF", -- Addr 2574, Offset 14 + x"FF", -- Addr 2575, Offset 15 + x"FF", -- Addr 2576, Offset 16 + x"FF", -- Addr 2577, Offset 17 + x"FF", -- Addr 2578, Offset 18 + x"FF", -- Addr 2579, Offset 19 + x"FF", -- Addr 2580, Offset 20 + x"FF", -- Addr 2581, Offset 21 + x"FF", -- Addr 2582, Offset 22 + x"FF", -- Addr 2583, Offset 23 + x"FF", -- Addr 2584, Offset 24 + x"FF", -- Addr 2585, Offset 25 + x"FF", -- Addr 2586, Offset 26 + x"FF", -- Addr 2587, Offset 27 + x"FF", -- Addr 2588, Offset 28 + x"FF", -- Addr 2589, Offset 29 + x"FF", -- Addr 2590, Offset 30 + x"FF", -- Addr 2591, Offset 31 + x"FF", -- Addr 2592, Offset 32 + x"FF", -- Addr 2593, Offset 33 + x"FF", -- Addr 2594, Offset 34 + x"FF", -- Addr 2595, Offset 35 + x"F2", -- Addr 2596, Offset 36 + x"F2", -- Addr 2597, Offset 37 + x"FF", -- Addr 2598, Offset 38 + x"FF", -- Addr 2599, Offset 39 + x"FF", -- Addr 2600, Offset 40 + x"FF", -- Addr 2601, Offset 41 + x"FF", -- Addr 2602, Offset 42 + x"FF", -- Addr 2603, Offset 43 + x"FF", -- Addr 2604, Offset 44 + x"FF", -- Addr 2605, Offset 45 + x"FF", -- Addr 2606, Offset 46 + x"FF", -- Addr 2607, Offset 47 + x"FF", -- Addr 2608, Offset 48 + x"FF", -- Addr 2609, Offset 49 + x"FF", -- Addr 2610, Offset 50 + x"F6", -- Addr 2611, Offset 51 + x"E0", -- Addr 2612, Offset 52 + x"E4", -- Addr 2613, Offset 53 + x"FB", -- Addr 2614, Offset 54 + x"FF", -- Addr 2615, Offset 55 + x"FF", -- Addr 2616, Offset 56 + x"FF", -- Addr 2617, Offset 57 + x"FF", -- Addr 2618, Offset 58 + x"FF", -- Addr 2619, Offset 59 + x"FF", -- Addr 2620, Offset 60 + x"FF", -- Addr 2621, Offset 61 + x"FF", -- Addr 2622, Offset 62 + x"FF", -- Addr 2623, Offset 63 + x"FF", -- Addr 2624, Offset 64 + x"FF", -- Addr 2625, Offset 65 + x"FB", -- Addr 2626, Offset 66 + x"E0", -- Addr 2627, Offset 67 + x"E0", -- Addr 2628, Offset 68 + x"E5", -- Addr 2629, Offset 69 + x"FB", -- Addr 2630, Offset 70 + x"FF", -- Addr 2631, Offset 71 + x"93", -- Addr 2632, Offset 72 + x"DB", -- Addr 2633, Offset 73 + x"FF", -- Addr 2634, Offset 74 + x"FF", -- Addr 2635, Offset 75 + x"FF", -- Addr 2636, Offset 76 + x"FF", -- Addr 2637, Offset 77 + x"FF", -- Addr 2638, Offset 78 + x"FF", -- Addr 2639, Offset 79 + x"FF", -- Addr 2640, Offset 80 + x"FF", -- Addr 2641, Offset 81 + x"E9", -- Addr 2642, Offset 82 + x"E0", -- Addr 2643, Offset 83 + x"E4", -- Addr 2644, Offset 84 + x"F6", -- Addr 2645, Offset 85 + x"FF", -- Addr 2646, Offset 86 + x"93", -- Addr 2647, Offset 87 + x"07", -- Addr 2648, Offset 88 + x"4F", -- Addr 2649, Offset 89 + x"FF", -- Addr 2650, Offset 90 + x"FF", -- Addr 2651, Offset 91 + x"FF", -- Addr 2652, Offset 92 + x"FF", -- Addr 2653, Offset 93 + x"FF", -- Addr 2654, Offset 94 + x"FF", -- Addr 2655, Offset 95 + x"FF", -- Addr 2656, Offset 96 + x"ED", -- Addr 2657, Offset 97 + x"E0", -- Addr 2658, Offset 98 + x"E0", -- Addr 2659, Offset 99 + x"F2", -- Addr 2660, Offset 100 + x"FF", -- Addr 2661, Offset 101 + x"DB", -- Addr 2662, Offset 102 + x"2B", -- Addr 2663, Offset 103 + x"07", -- Addr 2664, Offset 104 + x"2B", -- Addr 2665, Offset 105 + x"FF", -- Addr 2666, Offset 106 + x"FF", -- Addr 2667, Offset 107 + x"FF", -- Addr 2668, Offset 108 + x"BE", -- Addr 2669, Offset 109 + x"BE", -- Addr 2670, Offset 110 + x"FF", -- Addr 2671, Offset 111 + x"FA", -- Addr 2672, Offset 112 + x"E4", -- Addr 2673, Offset 113 + x"E0", -- Addr 2674, Offset 114 + x"E9", -- Addr 2675, Offset 115 + x"FB", -- Addr 2676, Offset 116 + x"DB", -- Addr 2677, Offset 117 + x"4F", -- Addr 2678, Offset 118 + x"07", -- Addr 2679, Offset 119 + x"07", -- Addr 2680, Offset 120 + x"B7", -- Addr 2681, Offset 121 + x"FF", -- Addr 2682, Offset 122 + x"FF", -- Addr 2683, Offset 123 + x"DE", -- Addr 2684, Offset 124 + x"5C", -- Addr 2685, Offset 125 + x"5C", -- Addr 2686, Offset 126 + x"DE", -- Addr 2687, Offset 127 + x"FF", -- Addr 2688, Offset 128 + x"ED", -- Addr 2689, Offset 129 + x"E9", -- Addr 2690, Offset 130 + x"FB", -- Addr 2691, Offset 131 + x"FF", -- Addr 2692, Offset 132 + x"73", -- Addr 2693, Offset 133 + x"07", -- Addr 2694, Offset 134 + x"2B", -- Addr 2695, Offset 135 + x"97", -- Addr 2696, Offset 136 + x"FF", -- Addr 2697, Offset 137 + x"FF", -- Addr 2698, Offset 138 + x"FF", -- Addr 2699, Offset 139 + x"7D", -- Addr 2700, Offset 140 + x"5C", -- Addr 2701, Offset 141 + x"5C", -- Addr 2702, Offset 142 + x"DE", -- Addr 2703, Offset 143 + x"FF", -- Addr 2704, Offset 144 + x"FF", -- Addr 2705, Offset 145 + x"FF", -- Addr 2706, Offset 146 + x"FF", -- Addr 2707, Offset 147 + x"DB", -- Addr 2708, Offset 148 + x"4F", -- Addr 2709, Offset 149 + x"2B", -- Addr 2710, Offset 150 + x"DB", -- Addr 2711, Offset 151 + x"FF", -- Addr 2712, Offset 152 + x"FF", -- Addr 2713, Offset 153 + x"FF", -- Addr 2714, Offset 154 + x"BE", -- Addr 2715, Offset 155 + x"5C", -- Addr 2716, Offset 156 + x"5C", -- Addr 2717, Offset 157 + x"9D", -- Addr 2718, Offset 158 + x"FF", -- Addr 2719, Offset 159 + x"FF", -- Addr 2720, Offset 160 + x"FF", -- Addr 2721, Offset 161 + x"FF", -- Addr 2722, Offset 162 + x"FF", -- Addr 2723, Offset 163 + x"93", -- Addr 2724, Offset 164 + x"07", -- Addr 2725, Offset 165 + x"4F", -- Addr 2726, Offset 166 + x"FF", -- Addr 2727, Offset 167 + x"FF", -- Addr 2728, Offset 168 + x"FF", -- Addr 2729, Offset 169 + x"BE", -- Addr 2730, Offset 170 + x"7C", -- Addr 2731, Offset 171 + x"5C", -- Addr 2732, Offset 172 + x"9D", -- Addr 2733, Offset 173 + x"FF", -- Addr 2734, Offset 174 + x"FF", -- Addr 2735, Offset 175 + x"FF", -- Addr 2736, Offset 176 + x"FF", -- Addr 2737, Offset 177 + x"FF", -- Addr 2738, Offset 178 + x"B7", -- Addr 2739, Offset 179 + x"07", -- Addr 2740, Offset 180 + x"07", -- Addr 2741, Offset 181 + x"DB", -- Addr 2742, Offset 182 + x"FF", -- Addr 2743, Offset 183 + x"FF", -- Addr 2744, Offset 184 + x"DE", -- Addr 2745, Offset 185 + x"5C", -- Addr 2746, Offset 186 + x"5C", -- Addr 2747, Offset 187 + x"7D", -- Addr 2748, Offset 188 + x"FF", -- Addr 2749, Offset 189 + x"FF", -- Addr 2750, Offset 190 + x"FF", -- Addr 2751, Offset 191 + x"FF", -- Addr 2752, Offset 192 + x"FF", -- Addr 2753, Offset 193 + x"FF", -- Addr 2754, Offset 194 + x"2B", -- Addr 2755, Offset 195 + x"07", -- Addr 2756, Offset 196 + x"93", -- Addr 2757, Offset 197 + x"FF", -- Addr 2758, Offset 198 + x"FF", -- Addr 2759, Offset 199 + x"DF", -- Addr 2760, Offset 200 + x"7C", -- Addr 2761, Offset 201 + x"5C", -- Addr 2762, Offset 202 + x"9D", -- Addr 2763, Offset 203 + x"FF", -- Addr 2764, Offset 204 + x"FF", -- Addr 2765, Offset 205 + x"FF", -- Addr 2766, Offset 206 + x"FF", -- Addr 2767, Offset 207 + x"FF", -- Addr 2768, Offset 208 + x"FF", -- Addr 2769, Offset 209 + x"FF", -- Addr 2770, Offset 210 + x"B7", -- Addr 2771, Offset 211 + x"73", -- Addr 2772, Offset 212 + x"FF", -- Addr 2773, Offset 213 + x"FF", -- Addr 2774, Offset 214 + x"FF", -- Addr 2775, Offset 215 + x"9D", -- Addr 2776, Offset 216 + x"5C", -- Addr 2777, Offset 217 + x"9D", -- Addr 2778, Offset 218 + x"FF", -- Addr 2779, Offset 219 + x"FF", -- Addr 2780, Offset 220 + x"FF", -- Addr 2781, Offset 221 + x"FF", -- Addr 2782, Offset 222 + x"FF", -- Addr 2783, Offset 223 + x"FF", -- Addr 2784, Offset 224 + x"FF", -- Addr 2785, Offset 225 + x"FF", -- Addr 2786, Offset 226 + x"FF", -- Addr 2787, Offset 227 + x"FF", -- Addr 2788, Offset 228 + x"FF", -- Addr 2789, Offset 229 + x"FF", -- Addr 2790, Offset 230 + x"FF", -- Addr 2791, Offset 231 + x"DF", -- Addr 2792, Offset 232 + x"9D", -- Addr 2793, Offset 233 + x"DF", -- Addr 2794, Offset 234 + x"FF", -- Addr 2795, Offset 235 + x"FF", -- Addr 2796, Offset 236 + x"FF", -- Addr 2797, Offset 237 + x"FF", -- Addr 2798, Offset 238 + x"FF", -- Addr 2799, Offset 239 + x"FF", -- Addr 2800, Offset 240 + x"FF", -- Addr 2801, Offset 241 + x"FF", -- Addr 2802, Offset 242 + x"FF", -- Addr 2803, Offset 243 + x"FF", -- Addr 2804, Offset 244 + x"FF", -- Addr 2805, Offset 245 + x"FF", -- Addr 2806, Offset 246 + x"FF", -- Addr 2807, Offset 247 + x"FF", -- Addr 2808, Offset 248 + x"FF", -- Addr 2809, Offset 249 + x"FF", -- Addr 2810, Offset 250 + x"FF", -- Addr 2811, Offset 251 + x"FF", -- Addr 2812, Offset 252 + x"FF", -- Addr 2813, Offset 253 + x"FF", -- Addr 2814, Offset 254 + x"FF", -- Addr 2815, Offset 255 + + -- Sprite: 11, Basis-Adresse: 2816 + x"FF", -- Addr 2816, Offset 0 + x"FF", -- Addr 2817, Offset 1 + x"FF", -- Addr 2818, Offset 2 + x"FF", -- Addr 2819, Offset 3 + x"FF", -- Addr 2820, Offset 4 + x"FF", -- Addr 2821, Offset 5 + x"FF", -- Addr 2822, Offset 6 + x"FF", -- Addr 2823, Offset 7 + x"FF", -- Addr 2824, Offset 8 + x"FF", -- Addr 2825, Offset 9 + x"FF", -- Addr 2826, Offset 10 + x"FF", -- Addr 2827, Offset 11 + x"FF", -- Addr 2828, Offset 12 + x"FF", -- Addr 2829, Offset 13 + x"FF", -- Addr 2830, Offset 14 + x"FF", -- Addr 2831, Offset 15 + x"FF", -- Addr 2832, Offset 16 + x"FF", -- Addr 2833, Offset 17 + x"FF", -- Addr 2834, Offset 18 + x"FF", -- Addr 2835, Offset 19 + x"FF", -- Addr 2836, Offset 20 + x"FF", -- Addr 2837, Offset 21 + x"FF", -- Addr 2838, Offset 22 + x"FF", -- Addr 2839, Offset 23 + x"FF", -- Addr 2840, Offset 24 + x"FF", -- Addr 2841, Offset 25 + x"FF", -- Addr 2842, Offset 26 + x"FF", -- Addr 2843, Offset 27 + x"FF", -- Addr 2844, Offset 28 + x"FF", -- Addr 2845, Offset 29 + x"FF", -- Addr 2846, Offset 30 + x"FF", -- Addr 2847, Offset 31 + x"FF", -- Addr 2848, Offset 32 + x"FF", -- Addr 2849, Offset 33 + x"FF", -- Addr 2850, Offset 34 + x"FF", -- Addr 2851, Offset 35 + x"F2", -- Addr 2852, Offset 36 + x"F2", -- Addr 2853, Offset 37 + x"FF", -- Addr 2854, Offset 38 + x"FF", -- Addr 2855, Offset 39 + x"FF", -- Addr 2856, Offset 40 + x"FF", -- Addr 2857, Offset 41 + x"FF", -- Addr 2858, Offset 42 + x"FF", -- Addr 2859, Offset 43 + x"FF", -- Addr 2860, Offset 44 + x"FF", -- Addr 2861, Offset 45 + x"FF", -- Addr 2862, Offset 46 + x"FF", -- Addr 2863, Offset 47 + x"FF", -- Addr 2864, Offset 48 + x"FF", -- Addr 2865, Offset 49 + x"FF", -- Addr 2866, Offset 50 + x"F6", -- Addr 2867, Offset 51 + x"E0", -- Addr 2868, Offset 52 + x"E4", -- Addr 2869, Offset 53 + x"FB", -- Addr 2870, Offset 54 + x"FF", -- Addr 2871, Offset 55 + x"FF", -- Addr 2872, Offset 56 + x"FF", -- Addr 2873, Offset 57 + x"FF", -- Addr 2874, Offset 58 + x"FF", -- Addr 2875, Offset 59 + x"FF", -- Addr 2876, Offset 60 + x"FF", -- Addr 2877, Offset 61 + x"FF", -- Addr 2878, Offset 62 + x"FF", -- Addr 2879, Offset 63 + x"FF", -- Addr 2880, Offset 64 + x"FF", -- Addr 2881, Offset 65 + x"FB", -- Addr 2882, Offset 66 + x"E0", -- Addr 2883, Offset 67 + x"E0", -- Addr 2884, Offset 68 + x"E5", -- Addr 2885, Offset 69 + x"FB", -- Addr 2886, Offset 70 + x"FF", -- Addr 2887, Offset 71 + x"93", -- Addr 2888, Offset 72 + x"DB", -- Addr 2889, Offset 73 + x"FF", -- Addr 2890, Offset 74 + x"FF", -- Addr 2891, Offset 75 + x"FF", -- Addr 2892, Offset 76 + x"FF", -- Addr 2893, Offset 77 + x"FF", -- Addr 2894, Offset 78 + x"FF", -- Addr 2895, Offset 79 + x"FF", -- Addr 2896, Offset 80 + x"FF", -- Addr 2897, Offset 81 + x"E9", -- Addr 2898, Offset 82 + x"E0", -- Addr 2899, Offset 83 + x"E4", -- Addr 2900, Offset 84 + x"F6", -- Addr 2901, Offset 85 + x"FF", -- Addr 2902, Offset 86 + x"93", -- Addr 2903, Offset 87 + x"07", -- Addr 2904, Offset 88 + x"4F", -- Addr 2905, Offset 89 + x"FF", -- Addr 2906, Offset 90 + x"FF", -- Addr 2907, Offset 91 + x"FF", -- Addr 2908, Offset 92 + x"FF", -- Addr 2909, Offset 93 + x"FF", -- Addr 2910, Offset 94 + x"FF", -- Addr 2911, Offset 95 + x"FF", -- Addr 2912, Offset 96 + x"ED", -- Addr 2913, Offset 97 + x"E0", -- Addr 2914, Offset 98 + x"E0", -- Addr 2915, Offset 99 + x"F2", -- Addr 2916, Offset 100 + x"FF", -- Addr 2917, Offset 101 + x"DB", -- Addr 2918, Offset 102 + x"2B", -- Addr 2919, Offset 103 + x"07", -- Addr 2920, Offset 104 + x"2B", -- Addr 2921, Offset 105 + x"FF", -- Addr 2922, Offset 106 + x"FF", -- Addr 2923, Offset 107 + x"FF", -- Addr 2924, Offset 108 + x"BE", -- Addr 2925, Offset 109 + x"BE", -- Addr 2926, Offset 110 + x"FF", -- Addr 2927, Offset 111 + x"FA", -- Addr 2928, Offset 112 + x"E4", -- Addr 2929, Offset 113 + x"E0", -- Addr 2930, Offset 114 + x"E9", -- Addr 2931, Offset 115 + x"FB", -- Addr 2932, Offset 116 + x"DB", -- Addr 2933, Offset 117 + x"4F", -- Addr 2934, Offset 118 + x"07", -- Addr 2935, Offset 119 + x"07", -- Addr 2936, Offset 120 + x"B7", -- Addr 2937, Offset 121 + x"FF", -- Addr 2938, Offset 122 + x"FF", -- Addr 2939, Offset 123 + x"DE", -- Addr 2940, Offset 124 + x"5C", -- Addr 2941, Offset 125 + x"5C", -- Addr 2942, Offset 126 + x"DE", -- Addr 2943, Offset 127 + x"FF", -- Addr 2944, Offset 128 + x"ED", -- Addr 2945, Offset 129 + x"E9", -- Addr 2946, Offset 130 + x"FB", -- Addr 2947, Offset 131 + x"FF", -- Addr 2948, Offset 132 + x"73", -- Addr 2949, Offset 133 + x"07", -- Addr 2950, Offset 134 + x"2B", -- Addr 2951, Offset 135 + x"97", -- Addr 2952, Offset 136 + x"FF", -- Addr 2953, Offset 137 + x"FF", -- Addr 2954, Offset 138 + x"FF", -- Addr 2955, Offset 139 + x"7D", -- Addr 2956, Offset 140 + x"5C", -- Addr 2957, Offset 141 + x"5C", -- Addr 2958, Offset 142 + x"DE", -- Addr 2959, Offset 143 + x"FF", -- Addr 2960, Offset 144 + x"FF", -- Addr 2961, Offset 145 + x"FF", -- Addr 2962, Offset 146 + x"FF", -- Addr 2963, Offset 147 + x"DB", -- Addr 2964, Offset 148 + x"4F", -- Addr 2965, Offset 149 + x"2B", -- Addr 2966, Offset 150 + x"DB", -- Addr 2967, Offset 151 + x"FF", -- Addr 2968, Offset 152 + x"FF", -- Addr 2969, Offset 153 + x"FF", -- Addr 2970, Offset 154 + x"BE", -- Addr 2971, Offset 155 + x"5C", -- Addr 2972, Offset 156 + x"5C", -- Addr 2973, Offset 157 + x"9D", -- Addr 2974, Offset 158 + x"FF", -- Addr 2975, Offset 159 + x"FF", -- Addr 2976, Offset 160 + x"FF", -- Addr 2977, Offset 161 + x"FF", -- Addr 2978, Offset 162 + x"FF", -- Addr 2979, Offset 163 + x"93", -- Addr 2980, Offset 164 + x"07", -- Addr 2981, Offset 165 + x"4F", -- Addr 2982, Offset 166 + x"FF", -- Addr 2983, Offset 167 + x"FF", -- Addr 2984, Offset 168 + x"FF", -- Addr 2985, Offset 169 + x"BE", -- Addr 2986, Offset 170 + x"7C", -- Addr 2987, Offset 171 + x"5C", -- Addr 2988, Offset 172 + x"9D", -- Addr 2989, Offset 173 + x"FF", -- Addr 2990, Offset 174 + x"FF", -- Addr 2991, Offset 175 + x"FF", -- Addr 2992, Offset 176 + x"FF", -- Addr 2993, Offset 177 + x"FF", -- Addr 2994, Offset 178 + x"B7", -- Addr 2995, Offset 179 + x"07", -- Addr 2996, Offset 180 + x"07", -- Addr 2997, Offset 181 + x"DB", -- Addr 2998, Offset 182 + x"FF", -- Addr 2999, Offset 183 + x"FF", -- Addr 3000, Offset 184 + x"DE", -- Addr 3001, Offset 185 + x"5C", -- Addr 3002, Offset 186 + x"5C", -- Addr 3003, Offset 187 + x"7D", -- Addr 3004, Offset 188 + x"FF", -- Addr 3005, Offset 189 + x"FF", -- Addr 3006, Offset 190 + x"FF", -- Addr 3007, Offset 191 + x"FF", -- Addr 3008, Offset 192 + x"FF", -- Addr 3009, Offset 193 + x"FF", -- Addr 3010, Offset 194 + x"2B", -- Addr 3011, Offset 195 + x"07", -- Addr 3012, Offset 196 + x"93", -- Addr 3013, Offset 197 + x"FF", -- Addr 3014, Offset 198 + x"FF", -- Addr 3015, Offset 199 + x"DF", -- Addr 3016, Offset 200 + x"7C", -- Addr 3017, Offset 201 + x"5C", -- Addr 3018, Offset 202 + x"9D", -- Addr 3019, Offset 203 + x"FF", -- Addr 3020, Offset 204 + x"FF", -- Addr 3021, Offset 205 + x"FF", -- Addr 3022, Offset 206 + x"FF", -- Addr 3023, Offset 207 + x"FF", -- Addr 3024, Offset 208 + x"FF", -- Addr 3025, Offset 209 + x"FF", -- Addr 3026, Offset 210 + x"B7", -- Addr 3027, Offset 211 + x"73", -- Addr 3028, Offset 212 + x"FF", -- Addr 3029, Offset 213 + x"FF", -- Addr 3030, Offset 214 + x"FF", -- Addr 3031, Offset 215 + x"9D", -- Addr 3032, Offset 216 + x"5C", -- Addr 3033, Offset 217 + x"9D", -- Addr 3034, Offset 218 + x"FF", -- Addr 3035, Offset 219 + x"FF", -- Addr 3036, Offset 220 + x"FF", -- Addr 3037, Offset 221 + x"FF", -- Addr 3038, Offset 222 + x"FF", -- Addr 3039, Offset 223 + x"FF", -- Addr 3040, Offset 224 + x"FF", -- Addr 3041, Offset 225 + x"FF", -- Addr 3042, Offset 226 + x"FF", -- Addr 3043, Offset 227 + x"FF", -- Addr 3044, Offset 228 + x"FF", -- Addr 3045, Offset 229 + x"FF", -- Addr 3046, Offset 230 + x"FF", -- Addr 3047, Offset 231 + x"DF", -- Addr 3048, Offset 232 + x"9D", -- Addr 3049, Offset 233 + x"DF", -- Addr 3050, Offset 234 + x"FF", -- Addr 3051, Offset 235 + x"FF", -- Addr 3052, Offset 236 + x"FF", -- Addr 3053, Offset 237 + x"FF", -- Addr 3054, Offset 238 + x"FF", -- Addr 3055, Offset 239 + x"FF", -- Addr 3056, Offset 240 + x"FF", -- Addr 3057, Offset 241 + x"FF", -- Addr 3058, Offset 242 + x"FF", -- Addr 3059, Offset 243 + x"FF", -- Addr 3060, Offset 244 + x"FF", -- Addr 3061, Offset 245 + x"FF", -- Addr 3062, Offset 246 + x"FF", -- Addr 3063, Offset 247 + x"FF", -- Addr 3064, Offset 248 + x"FF", -- Addr 3065, Offset 249 + x"FF", -- Addr 3066, Offset 250 + x"FF", -- Addr 3067, Offset 251 + x"FF", -- Addr 3068, Offset 252 + x"FF", -- Addr 3069, Offset 253 + x"FF", -- Addr 3070, Offset 254 + x"FF", -- Addr 3071, Offset 255 + + -- Sprite: 12, Basis-Adresse: 3072 + x"FF", -- Addr 3072, Offset 0 + x"FF", -- Addr 3073, Offset 1 + x"FF", -- Addr 3074, Offset 2 + x"FF", -- Addr 3075, Offset 3 + x"FF", -- Addr 3076, Offset 4 + x"FF", -- Addr 3077, Offset 5 + x"FF", -- Addr 3078, Offset 6 + x"FF", -- Addr 3079, Offset 7 + x"FF", -- Addr 3080, Offset 8 + x"FF", -- Addr 3081, Offset 9 + x"FF", -- Addr 3082, Offset 10 + x"FF", -- Addr 3083, Offset 11 + x"FF", -- Addr 3084, Offset 12 + x"FF", -- Addr 3085, Offset 13 + x"FF", -- Addr 3086, Offset 14 + x"FF", -- Addr 3087, Offset 15 + x"FF", -- Addr 3088, Offset 16 + x"FF", -- Addr 3089, Offset 17 + x"FF", -- Addr 3090, Offset 18 + x"FF", -- Addr 3091, Offset 19 + x"FF", -- Addr 3092, Offset 20 + x"FF", -- Addr 3093, Offset 21 + x"FF", -- Addr 3094, Offset 22 + x"FF", -- Addr 3095, Offset 23 + x"FF", -- Addr 3096, Offset 24 + x"FF", -- Addr 3097, Offset 25 + x"FF", -- Addr 3098, Offset 26 + x"FF", -- Addr 3099, Offset 27 + x"FF", -- Addr 3100, Offset 28 + x"FF", -- Addr 3101, Offset 29 + x"FF", -- Addr 3102, Offset 30 + x"FF", -- Addr 3103, Offset 31 + x"FF", -- Addr 3104, Offset 32 + x"FF", -- Addr 3105, Offset 33 + x"FF", -- Addr 3106, Offset 34 + x"FF", -- Addr 3107, Offset 35 + x"F2", -- Addr 3108, Offset 36 + x"F2", -- Addr 3109, Offset 37 + x"FF", -- Addr 3110, Offset 38 + x"FF", -- Addr 3111, Offset 39 + x"FF", -- Addr 3112, Offset 40 + x"FF", -- Addr 3113, Offset 41 + x"FF", -- Addr 3114, Offset 42 + x"FF", -- Addr 3115, Offset 43 + x"FF", -- Addr 3116, Offset 44 + x"FF", -- Addr 3117, Offset 45 + x"FF", -- Addr 3118, Offset 46 + x"FF", -- Addr 3119, Offset 47 + x"FF", -- Addr 3120, Offset 48 + x"FF", -- Addr 3121, Offset 49 + x"FF", -- Addr 3122, Offset 50 + x"F6", -- Addr 3123, Offset 51 + x"E0", -- Addr 3124, Offset 52 + x"E4", -- Addr 3125, Offset 53 + x"FB", -- Addr 3126, Offset 54 + x"FF", -- Addr 3127, Offset 55 + x"FF", -- Addr 3128, Offset 56 + x"FF", -- Addr 3129, Offset 57 + x"FF", -- Addr 3130, Offset 58 + x"FF", -- Addr 3131, Offset 59 + x"FF", -- Addr 3132, Offset 60 + x"FF", -- Addr 3133, Offset 61 + x"FF", -- Addr 3134, Offset 62 + x"FF", -- Addr 3135, Offset 63 + x"FF", -- Addr 3136, Offset 64 + x"FF", -- Addr 3137, Offset 65 + x"FB", -- Addr 3138, Offset 66 + x"E0", -- Addr 3139, Offset 67 + x"E0", -- Addr 3140, Offset 68 + x"E5", -- Addr 3141, Offset 69 + x"FB", -- Addr 3142, Offset 70 + x"FF", -- Addr 3143, Offset 71 + x"93", -- Addr 3144, Offset 72 + x"DB", -- Addr 3145, Offset 73 + x"FF", -- Addr 3146, Offset 74 + x"FF", -- Addr 3147, Offset 75 + x"FF", -- Addr 3148, Offset 76 + x"FF", -- Addr 3149, Offset 77 + x"FF", -- Addr 3150, Offset 78 + x"FF", -- Addr 3151, Offset 79 + x"FF", -- Addr 3152, Offset 80 + x"FF", -- Addr 3153, Offset 81 + x"E9", -- Addr 3154, Offset 82 + x"E0", -- Addr 3155, Offset 83 + x"E4", -- Addr 3156, Offset 84 + x"F6", -- Addr 3157, Offset 85 + x"FF", -- Addr 3158, Offset 86 + x"93", -- Addr 3159, Offset 87 + x"07", -- Addr 3160, Offset 88 + x"4F", -- Addr 3161, Offset 89 + x"FF", -- Addr 3162, Offset 90 + x"FF", -- Addr 3163, Offset 91 + x"FF", -- Addr 3164, Offset 92 + x"FF", -- Addr 3165, Offset 93 + x"FF", -- Addr 3166, Offset 94 + x"FF", -- Addr 3167, Offset 95 + x"FF", -- Addr 3168, Offset 96 + x"ED", -- Addr 3169, Offset 97 + x"E0", -- Addr 3170, Offset 98 + x"E0", -- Addr 3171, Offset 99 + x"F2", -- Addr 3172, Offset 100 + x"FF", -- Addr 3173, Offset 101 + x"DB", -- Addr 3174, Offset 102 + x"2B", -- Addr 3175, Offset 103 + x"07", -- Addr 3176, Offset 104 + x"2B", -- Addr 3177, Offset 105 + x"FF", -- Addr 3178, Offset 106 + x"FF", -- Addr 3179, Offset 107 + x"FF", -- Addr 3180, Offset 108 + x"BE", -- Addr 3181, Offset 109 + x"BE", -- Addr 3182, Offset 110 + x"FF", -- Addr 3183, Offset 111 + x"FA", -- Addr 3184, Offset 112 + x"E4", -- Addr 3185, Offset 113 + x"E0", -- Addr 3186, Offset 114 + x"E9", -- Addr 3187, Offset 115 + x"FB", -- Addr 3188, Offset 116 + x"DB", -- Addr 3189, Offset 117 + x"4F", -- Addr 3190, Offset 118 + x"07", -- Addr 3191, Offset 119 + x"07", -- Addr 3192, Offset 120 + x"B7", -- Addr 3193, Offset 121 + x"FF", -- Addr 3194, Offset 122 + x"FF", -- Addr 3195, Offset 123 + x"DE", -- Addr 3196, Offset 124 + x"5C", -- Addr 3197, Offset 125 + x"5C", -- Addr 3198, Offset 126 + x"DE", -- Addr 3199, Offset 127 + x"FF", -- Addr 3200, Offset 128 + x"ED", -- Addr 3201, Offset 129 + x"E9", -- Addr 3202, Offset 130 + x"FB", -- Addr 3203, Offset 131 + x"FF", -- Addr 3204, Offset 132 + x"73", -- Addr 3205, Offset 133 + x"07", -- Addr 3206, Offset 134 + x"2B", -- Addr 3207, Offset 135 + x"97", -- Addr 3208, Offset 136 + x"FF", -- Addr 3209, Offset 137 + x"FF", -- Addr 3210, Offset 138 + x"FF", -- Addr 3211, Offset 139 + x"7D", -- Addr 3212, Offset 140 + x"5C", -- Addr 3213, Offset 141 + x"5C", -- Addr 3214, Offset 142 + x"DE", -- Addr 3215, Offset 143 + x"FF", -- Addr 3216, Offset 144 + x"FF", -- Addr 3217, Offset 145 + x"FF", -- Addr 3218, Offset 146 + x"FF", -- Addr 3219, Offset 147 + x"DB", -- Addr 3220, Offset 148 + x"4F", -- Addr 3221, Offset 149 + x"2B", -- Addr 3222, Offset 150 + x"DB", -- Addr 3223, Offset 151 + x"FF", -- Addr 3224, Offset 152 + x"FF", -- Addr 3225, Offset 153 + x"FF", -- Addr 3226, Offset 154 + x"BE", -- Addr 3227, Offset 155 + x"5C", -- Addr 3228, Offset 156 + x"5C", -- Addr 3229, Offset 157 + x"9D", -- Addr 3230, Offset 158 + x"FF", -- Addr 3231, Offset 159 + x"FF", -- Addr 3232, Offset 160 + x"FF", -- Addr 3233, Offset 161 + x"FF", -- Addr 3234, Offset 162 + x"FF", -- Addr 3235, Offset 163 + x"93", -- Addr 3236, Offset 164 + x"07", -- Addr 3237, Offset 165 + x"4F", -- Addr 3238, Offset 166 + x"FF", -- Addr 3239, Offset 167 + x"FF", -- Addr 3240, Offset 168 + x"FF", -- Addr 3241, Offset 169 + x"BE", -- Addr 3242, Offset 170 + x"7C", -- Addr 3243, Offset 171 + x"5C", -- Addr 3244, Offset 172 + x"9D", -- Addr 3245, Offset 173 + x"FF", -- Addr 3246, Offset 174 + x"FF", -- Addr 3247, Offset 175 + x"FF", -- Addr 3248, Offset 176 + x"FF", -- Addr 3249, Offset 177 + x"FF", -- Addr 3250, Offset 178 + x"B7", -- Addr 3251, Offset 179 + x"07", -- Addr 3252, Offset 180 + x"07", -- Addr 3253, Offset 181 + x"DB", -- Addr 3254, Offset 182 + x"FF", -- Addr 3255, Offset 183 + x"FF", -- Addr 3256, Offset 184 + x"DE", -- Addr 3257, Offset 185 + x"5C", -- Addr 3258, Offset 186 + x"5C", -- Addr 3259, Offset 187 + x"7D", -- Addr 3260, Offset 188 + x"FF", -- Addr 3261, Offset 189 + x"FF", -- Addr 3262, Offset 190 + x"FF", -- Addr 3263, Offset 191 + x"FF", -- Addr 3264, Offset 192 + x"FF", -- Addr 3265, Offset 193 + x"FF", -- Addr 3266, Offset 194 + x"2B", -- Addr 3267, Offset 195 + x"07", -- Addr 3268, Offset 196 + x"93", -- Addr 3269, Offset 197 + x"FF", -- Addr 3270, Offset 198 + x"FF", -- Addr 3271, Offset 199 + x"DF", -- Addr 3272, Offset 200 + x"7C", -- Addr 3273, Offset 201 + x"5C", -- Addr 3274, Offset 202 + x"9D", -- Addr 3275, Offset 203 + x"FF", -- Addr 3276, Offset 204 + x"FF", -- Addr 3277, Offset 205 + x"FF", -- Addr 3278, Offset 206 + x"FF", -- Addr 3279, Offset 207 + x"FF", -- Addr 3280, Offset 208 + x"FF", -- Addr 3281, Offset 209 + x"FF", -- Addr 3282, Offset 210 + x"B7", -- Addr 3283, Offset 211 + x"73", -- Addr 3284, Offset 212 + x"FF", -- Addr 3285, Offset 213 + x"FF", -- Addr 3286, Offset 214 + x"FF", -- Addr 3287, Offset 215 + x"9D", -- Addr 3288, Offset 216 + x"5C", -- Addr 3289, Offset 217 + x"9D", -- Addr 3290, Offset 218 + x"FF", -- Addr 3291, Offset 219 + x"FF", -- Addr 3292, Offset 220 + x"FF", -- Addr 3293, Offset 221 + x"FF", -- Addr 3294, Offset 222 + x"FF", -- Addr 3295, Offset 223 + x"FF", -- Addr 3296, Offset 224 + x"FF", -- Addr 3297, Offset 225 + x"FF", -- Addr 3298, Offset 226 + x"FF", -- Addr 3299, Offset 227 + x"FF", -- Addr 3300, Offset 228 + x"FF", -- Addr 3301, Offset 229 + x"FF", -- Addr 3302, Offset 230 + x"FF", -- Addr 3303, Offset 231 + x"DF", -- Addr 3304, Offset 232 + x"9D", -- Addr 3305, Offset 233 + x"DF", -- Addr 3306, Offset 234 + x"FF", -- Addr 3307, Offset 235 + x"FF", -- Addr 3308, Offset 236 + x"FF", -- Addr 3309, Offset 237 + x"FF", -- Addr 3310, Offset 238 + x"FF", -- Addr 3311, Offset 239 + x"FF", -- Addr 3312, Offset 240 + x"FF", -- Addr 3313, Offset 241 + x"FF", -- Addr 3314, Offset 242 + x"FF", -- Addr 3315, Offset 243 + x"FF", -- Addr 3316, Offset 244 + x"FF", -- Addr 3317, Offset 245 + x"FF", -- Addr 3318, Offset 246 + x"FF", -- Addr 3319, Offset 247 + x"FF", -- Addr 3320, Offset 248 + x"FF", -- Addr 3321, Offset 249 + x"FF", -- Addr 3322, Offset 250 + x"FF", -- Addr 3323, Offset 251 + x"FF", -- Addr 3324, Offset 252 + x"FF", -- Addr 3325, Offset 253 + x"FF", -- Addr 3326, Offset 254 + x"FF", -- Addr 3327, Offset 255 + + -- Sprite: 13, Basis-Adresse: 3328 + x"FF", -- Addr 3328, Offset 0 + x"FF", -- Addr 3329, Offset 1 + x"FF", -- Addr 3330, Offset 2 + x"FF", -- Addr 3331, Offset 3 + x"FF", -- Addr 3332, Offset 4 + x"FF", -- Addr 3333, Offset 5 + x"FF", -- Addr 3334, Offset 6 + x"FF", -- Addr 3335, Offset 7 + x"FF", -- Addr 3336, Offset 8 + x"FF", -- Addr 3337, Offset 9 + x"FF", -- Addr 3338, Offset 10 + x"FF", -- Addr 3339, Offset 11 + x"FF", -- Addr 3340, Offset 12 + x"FF", -- Addr 3341, Offset 13 + x"FF", -- Addr 3342, Offset 14 + x"FF", -- Addr 3343, Offset 15 + x"FF", -- Addr 3344, Offset 16 + x"FF", -- Addr 3345, Offset 17 + x"FF", -- Addr 3346, Offset 18 + x"FF", -- Addr 3347, Offset 19 + x"FF", -- Addr 3348, Offset 20 + x"FF", -- Addr 3349, Offset 21 + x"FF", -- Addr 3350, Offset 22 + x"FF", -- Addr 3351, Offset 23 + x"FF", -- Addr 3352, Offset 24 + x"FF", -- Addr 3353, Offset 25 + x"FF", -- Addr 3354, Offset 26 + x"FF", -- Addr 3355, Offset 27 + x"FF", -- Addr 3356, Offset 28 + x"FF", -- Addr 3357, Offset 29 + x"FF", -- Addr 3358, Offset 30 + x"FF", -- Addr 3359, Offset 31 + x"FF", -- Addr 3360, Offset 32 + x"FF", -- Addr 3361, Offset 33 + x"FF", -- Addr 3362, Offset 34 + x"FF", -- Addr 3363, Offset 35 + x"F2", -- Addr 3364, Offset 36 + x"F2", -- Addr 3365, Offset 37 + x"FF", -- Addr 3366, Offset 38 + x"FF", -- Addr 3367, Offset 39 + x"FF", -- Addr 3368, Offset 40 + x"FF", -- Addr 3369, Offset 41 + x"FF", -- Addr 3370, Offset 42 + x"FF", -- Addr 3371, Offset 43 + x"FF", -- Addr 3372, Offset 44 + x"FF", -- Addr 3373, Offset 45 + x"FF", -- Addr 3374, Offset 46 + x"FF", -- Addr 3375, Offset 47 + x"FF", -- Addr 3376, Offset 48 + x"FF", -- Addr 3377, Offset 49 + x"FF", -- Addr 3378, Offset 50 + x"F6", -- Addr 3379, Offset 51 + x"E0", -- Addr 3380, Offset 52 + x"E4", -- Addr 3381, Offset 53 + x"FB", -- Addr 3382, Offset 54 + x"FF", -- Addr 3383, Offset 55 + x"FF", -- Addr 3384, Offset 56 + x"FF", -- Addr 3385, Offset 57 + x"FF", -- Addr 3386, Offset 58 + x"FF", -- Addr 3387, Offset 59 + x"FF", -- Addr 3388, Offset 60 + x"FF", -- Addr 3389, Offset 61 + x"FF", -- Addr 3390, Offset 62 + x"FF", -- Addr 3391, Offset 63 + x"FF", -- Addr 3392, Offset 64 + x"FF", -- Addr 3393, Offset 65 + x"FB", -- Addr 3394, Offset 66 + x"E0", -- Addr 3395, Offset 67 + x"E0", -- Addr 3396, Offset 68 + x"E5", -- Addr 3397, Offset 69 + x"FB", -- Addr 3398, Offset 70 + x"FF", -- Addr 3399, Offset 71 + x"93", -- Addr 3400, Offset 72 + x"DB", -- Addr 3401, Offset 73 + x"FF", -- Addr 3402, Offset 74 + x"FF", -- Addr 3403, Offset 75 + x"FF", -- Addr 3404, Offset 76 + x"FF", -- Addr 3405, Offset 77 + x"FF", -- Addr 3406, Offset 78 + x"FF", -- Addr 3407, Offset 79 + x"FF", -- Addr 3408, Offset 80 + x"FF", -- Addr 3409, Offset 81 + x"E9", -- Addr 3410, Offset 82 + x"E0", -- Addr 3411, Offset 83 + x"E4", -- Addr 3412, Offset 84 + x"F6", -- Addr 3413, Offset 85 + x"FF", -- Addr 3414, Offset 86 + x"93", -- Addr 3415, Offset 87 + x"07", -- Addr 3416, Offset 88 + x"4F", -- Addr 3417, Offset 89 + x"FF", -- Addr 3418, Offset 90 + x"FF", -- Addr 3419, Offset 91 + x"FF", -- Addr 3420, Offset 92 + x"FF", -- Addr 3421, Offset 93 + x"FF", -- Addr 3422, Offset 94 + x"FF", -- Addr 3423, Offset 95 + x"FF", -- Addr 3424, Offset 96 + x"ED", -- Addr 3425, Offset 97 + x"E0", -- Addr 3426, Offset 98 + x"E0", -- Addr 3427, Offset 99 + x"F2", -- Addr 3428, Offset 100 + x"FF", -- Addr 3429, Offset 101 + x"DB", -- Addr 3430, Offset 102 + x"2B", -- Addr 3431, Offset 103 + x"07", -- Addr 3432, Offset 104 + x"2B", -- Addr 3433, Offset 105 + x"FF", -- Addr 3434, Offset 106 + x"FF", -- Addr 3435, Offset 107 + x"FF", -- Addr 3436, Offset 108 + x"BE", -- Addr 3437, Offset 109 + x"BE", -- Addr 3438, Offset 110 + x"FF", -- Addr 3439, Offset 111 + x"FA", -- Addr 3440, Offset 112 + x"E4", -- Addr 3441, Offset 113 + x"E0", -- Addr 3442, Offset 114 + x"E9", -- Addr 3443, Offset 115 + x"FB", -- Addr 3444, Offset 116 + x"DB", -- Addr 3445, Offset 117 + x"4F", -- Addr 3446, Offset 118 + x"07", -- Addr 3447, Offset 119 + x"07", -- Addr 3448, Offset 120 + x"B7", -- Addr 3449, Offset 121 + x"FF", -- Addr 3450, Offset 122 + x"FF", -- Addr 3451, Offset 123 + x"DE", -- Addr 3452, Offset 124 + x"5C", -- Addr 3453, Offset 125 + x"5C", -- Addr 3454, Offset 126 + x"DE", -- Addr 3455, Offset 127 + x"FF", -- Addr 3456, Offset 128 + x"ED", -- Addr 3457, Offset 129 + x"E9", -- Addr 3458, Offset 130 + x"FB", -- Addr 3459, Offset 131 + x"FF", -- Addr 3460, Offset 132 + x"73", -- Addr 3461, Offset 133 + x"07", -- Addr 3462, Offset 134 + x"2B", -- Addr 3463, Offset 135 + x"97", -- Addr 3464, Offset 136 + x"FF", -- Addr 3465, Offset 137 + x"FF", -- Addr 3466, Offset 138 + x"FF", -- Addr 3467, Offset 139 + x"7D", -- Addr 3468, Offset 140 + x"5C", -- Addr 3469, Offset 141 + x"5C", -- Addr 3470, Offset 142 + x"DE", -- Addr 3471, Offset 143 + x"FF", -- Addr 3472, Offset 144 + x"FF", -- Addr 3473, Offset 145 + x"FF", -- Addr 3474, Offset 146 + x"FF", -- Addr 3475, Offset 147 + x"DB", -- Addr 3476, Offset 148 + x"4F", -- Addr 3477, Offset 149 + x"2B", -- Addr 3478, Offset 150 + x"DB", -- Addr 3479, Offset 151 + x"FF", -- Addr 3480, Offset 152 + x"FF", -- Addr 3481, Offset 153 + x"FF", -- Addr 3482, Offset 154 + x"BE", -- Addr 3483, Offset 155 + x"5C", -- Addr 3484, Offset 156 + x"5C", -- Addr 3485, Offset 157 + x"9D", -- Addr 3486, Offset 158 + x"FF", -- Addr 3487, Offset 159 + x"FF", -- Addr 3488, Offset 160 + x"FF", -- Addr 3489, Offset 161 + x"FF", -- Addr 3490, Offset 162 + x"FF", -- Addr 3491, Offset 163 + x"93", -- Addr 3492, Offset 164 + x"07", -- Addr 3493, Offset 165 + x"4F", -- Addr 3494, Offset 166 + x"FF", -- Addr 3495, Offset 167 + x"FF", -- Addr 3496, Offset 168 + x"FF", -- Addr 3497, Offset 169 + x"BE", -- Addr 3498, Offset 170 + x"7C", -- Addr 3499, Offset 171 + x"5C", -- Addr 3500, Offset 172 + x"9D", -- Addr 3501, Offset 173 + x"FF", -- Addr 3502, Offset 174 + x"FF", -- Addr 3503, Offset 175 + x"FF", -- Addr 3504, Offset 176 + x"FF", -- Addr 3505, Offset 177 + x"FF", -- Addr 3506, Offset 178 + x"B7", -- Addr 3507, Offset 179 + x"07", -- Addr 3508, Offset 180 + x"07", -- Addr 3509, Offset 181 + x"DB", -- Addr 3510, Offset 182 + x"FF", -- Addr 3511, Offset 183 + x"FF", -- Addr 3512, Offset 184 + x"DE", -- Addr 3513, Offset 185 + x"5C", -- Addr 3514, Offset 186 + x"5C", -- Addr 3515, Offset 187 + x"7D", -- Addr 3516, Offset 188 + x"FF", -- Addr 3517, Offset 189 + x"FF", -- Addr 3518, Offset 190 + x"FF", -- Addr 3519, Offset 191 + x"FF", -- Addr 3520, Offset 192 + x"FF", -- Addr 3521, Offset 193 + x"FF", -- Addr 3522, Offset 194 + x"2B", -- Addr 3523, Offset 195 + x"07", -- Addr 3524, Offset 196 + x"93", -- Addr 3525, Offset 197 + x"FF", -- Addr 3526, Offset 198 + x"FF", -- Addr 3527, Offset 199 + x"DF", -- Addr 3528, Offset 200 + x"7C", -- Addr 3529, Offset 201 + x"5C", -- Addr 3530, Offset 202 + x"9D", -- Addr 3531, Offset 203 + x"FF", -- Addr 3532, Offset 204 + x"FF", -- Addr 3533, Offset 205 + x"FF", -- Addr 3534, Offset 206 + x"FF", -- Addr 3535, Offset 207 + x"FF", -- Addr 3536, Offset 208 + x"FF", -- Addr 3537, Offset 209 + x"FF", -- Addr 3538, Offset 210 + x"B7", -- Addr 3539, Offset 211 + x"73", -- Addr 3540, Offset 212 + x"FF", -- Addr 3541, Offset 213 + x"FF", -- Addr 3542, Offset 214 + x"FF", -- Addr 3543, Offset 215 + x"9D", -- Addr 3544, Offset 216 + x"5C", -- Addr 3545, Offset 217 + x"9D", -- Addr 3546, Offset 218 + x"FF", -- Addr 3547, Offset 219 + x"FF", -- Addr 3548, Offset 220 + x"FF", -- Addr 3549, Offset 221 + x"FF", -- Addr 3550, Offset 222 + x"FF", -- Addr 3551, Offset 223 + x"FF", -- Addr 3552, Offset 224 + x"FF", -- Addr 3553, Offset 225 + x"FF", -- Addr 3554, Offset 226 + x"FF", -- Addr 3555, Offset 227 + x"FF", -- Addr 3556, Offset 228 + x"FF", -- Addr 3557, Offset 229 + x"FF", -- Addr 3558, Offset 230 + x"FF", -- Addr 3559, Offset 231 + x"DF", -- Addr 3560, Offset 232 + x"9D", -- Addr 3561, Offset 233 + x"DF", -- Addr 3562, Offset 234 + x"FF", -- Addr 3563, Offset 235 + x"FF", -- Addr 3564, Offset 236 + x"FF", -- Addr 3565, Offset 237 + x"FF", -- Addr 3566, Offset 238 + x"FF", -- Addr 3567, Offset 239 + x"FF", -- Addr 3568, Offset 240 + x"FF", -- Addr 3569, Offset 241 + x"FF", -- Addr 3570, Offset 242 + x"FF", -- Addr 3571, Offset 243 + x"FF", -- Addr 3572, Offset 244 + x"FF", -- Addr 3573, Offset 245 + x"FF", -- Addr 3574, Offset 246 + x"FF", -- Addr 3575, Offset 247 + x"FF", -- Addr 3576, Offset 248 + x"FF", -- Addr 3577, Offset 249 + x"FF", -- Addr 3578, Offset 250 + x"FF", -- Addr 3579, Offset 251 + x"FF", -- Addr 3580, Offset 252 + x"FF", -- Addr 3581, Offset 253 + x"FF", -- Addr 3582, Offset 254 + x"FF", -- Addr 3583, Offset 255 + + -- Sprite: 14, Basis-Adresse: 3584 + x"FF", -- Addr 3584, Offset 0 + x"FF", -- Addr 3585, Offset 1 + x"FF", -- Addr 3586, Offset 2 + x"FF", -- Addr 3587, Offset 3 + x"FF", -- Addr 3588, Offset 4 + x"FF", -- Addr 3589, Offset 5 + x"FF", -- Addr 3590, Offset 6 + x"FF", -- Addr 3591, Offset 7 + x"FF", -- Addr 3592, Offset 8 + x"FF", -- Addr 3593, Offset 9 + x"FF", -- Addr 3594, Offset 10 + x"FF", -- Addr 3595, Offset 11 + x"FF", -- Addr 3596, Offset 12 + x"FF", -- Addr 3597, Offset 13 + x"FF", -- Addr 3598, Offset 14 + x"FF", -- Addr 3599, Offset 15 + x"FF", -- Addr 3600, Offset 16 + x"FF", -- Addr 3601, Offset 17 + x"FF", -- Addr 3602, Offset 18 + x"FF", -- Addr 3603, Offset 19 + x"FF", -- Addr 3604, Offset 20 + x"FF", -- Addr 3605, Offset 21 + x"FF", -- Addr 3606, Offset 22 + x"FF", -- Addr 3607, Offset 23 + x"FF", -- Addr 3608, Offset 24 + x"FF", -- Addr 3609, Offset 25 + x"FF", -- Addr 3610, Offset 26 + x"FF", -- Addr 3611, Offset 27 + x"FF", -- Addr 3612, Offset 28 + x"FF", -- Addr 3613, Offset 29 + x"FF", -- Addr 3614, Offset 30 + x"FF", -- Addr 3615, Offset 31 + x"FF", -- Addr 3616, Offset 32 + x"FF", -- Addr 3617, Offset 33 + x"FF", -- Addr 3618, Offset 34 + x"FF", -- Addr 3619, Offset 35 + x"F2", -- Addr 3620, Offset 36 + x"F2", -- Addr 3621, Offset 37 + x"FF", -- Addr 3622, Offset 38 + x"FF", -- Addr 3623, Offset 39 + x"FF", -- Addr 3624, Offset 40 + x"FF", -- Addr 3625, Offset 41 + x"FF", -- Addr 3626, Offset 42 + x"FF", -- Addr 3627, Offset 43 + x"FF", -- Addr 3628, Offset 44 + x"FF", -- Addr 3629, Offset 45 + x"FF", -- Addr 3630, Offset 46 + x"FF", -- Addr 3631, Offset 47 + x"FF", -- Addr 3632, Offset 48 + x"FF", -- Addr 3633, Offset 49 + x"FF", -- Addr 3634, Offset 50 + x"F6", -- Addr 3635, Offset 51 + x"E0", -- Addr 3636, Offset 52 + x"E4", -- Addr 3637, Offset 53 + x"FB", -- Addr 3638, Offset 54 + x"FF", -- Addr 3639, Offset 55 + x"FF", -- Addr 3640, Offset 56 + x"FF", -- Addr 3641, Offset 57 + x"FF", -- Addr 3642, Offset 58 + x"FF", -- Addr 3643, Offset 59 + x"FF", -- Addr 3644, Offset 60 + x"FF", -- Addr 3645, Offset 61 + x"FF", -- Addr 3646, Offset 62 + x"FF", -- Addr 3647, Offset 63 + x"FF", -- Addr 3648, Offset 64 + x"FF", -- Addr 3649, Offset 65 + x"FB", -- Addr 3650, Offset 66 + x"E0", -- Addr 3651, Offset 67 + x"E0", -- Addr 3652, Offset 68 + x"E5", -- Addr 3653, Offset 69 + x"FB", -- Addr 3654, Offset 70 + x"FF", -- Addr 3655, Offset 71 + x"93", -- Addr 3656, Offset 72 + x"DB", -- Addr 3657, Offset 73 + x"FF", -- Addr 3658, Offset 74 + x"FF", -- Addr 3659, Offset 75 + x"FF", -- Addr 3660, Offset 76 + x"FF", -- Addr 3661, Offset 77 + x"FF", -- Addr 3662, Offset 78 + x"FF", -- Addr 3663, Offset 79 + x"FF", -- Addr 3664, Offset 80 + x"FF", -- Addr 3665, Offset 81 + x"E9", -- Addr 3666, Offset 82 + x"E0", -- Addr 3667, Offset 83 + x"E4", -- Addr 3668, Offset 84 + x"F6", -- Addr 3669, Offset 85 + x"FF", -- Addr 3670, Offset 86 + x"93", -- Addr 3671, Offset 87 + x"07", -- Addr 3672, Offset 88 + x"4F", -- Addr 3673, Offset 89 + x"FF", -- Addr 3674, Offset 90 + x"FF", -- Addr 3675, Offset 91 + x"FF", -- Addr 3676, Offset 92 + x"FF", -- Addr 3677, Offset 93 + x"FF", -- Addr 3678, Offset 94 + x"FF", -- Addr 3679, Offset 95 + x"FF", -- Addr 3680, Offset 96 + x"ED", -- Addr 3681, Offset 97 + x"E0", -- Addr 3682, Offset 98 + x"E0", -- Addr 3683, Offset 99 + x"F2", -- Addr 3684, Offset 100 + x"FF", -- Addr 3685, Offset 101 + x"DB", -- Addr 3686, Offset 102 + x"2B", -- Addr 3687, Offset 103 + x"07", -- Addr 3688, Offset 104 + x"2B", -- Addr 3689, Offset 105 + x"FF", -- Addr 3690, Offset 106 + x"FF", -- Addr 3691, Offset 107 + x"FF", -- Addr 3692, Offset 108 + x"BE", -- Addr 3693, Offset 109 + x"BE", -- Addr 3694, Offset 110 + x"FF", -- Addr 3695, Offset 111 + x"FA", -- Addr 3696, Offset 112 + x"E4", -- Addr 3697, Offset 113 + x"E0", -- Addr 3698, Offset 114 + x"E9", -- Addr 3699, Offset 115 + x"FB", -- Addr 3700, Offset 116 + x"DB", -- Addr 3701, Offset 117 + x"4F", -- Addr 3702, Offset 118 + x"07", -- Addr 3703, Offset 119 + x"07", -- Addr 3704, Offset 120 + x"B7", -- Addr 3705, Offset 121 + x"FF", -- Addr 3706, Offset 122 + x"FF", -- Addr 3707, Offset 123 + x"DE", -- Addr 3708, Offset 124 + x"5C", -- Addr 3709, Offset 125 + x"5C", -- Addr 3710, Offset 126 + x"DE", -- Addr 3711, Offset 127 + x"FF", -- Addr 3712, Offset 128 + x"ED", -- Addr 3713, Offset 129 + x"E9", -- Addr 3714, Offset 130 + x"FB", -- Addr 3715, Offset 131 + x"FF", -- Addr 3716, Offset 132 + x"73", -- Addr 3717, Offset 133 + x"07", -- Addr 3718, Offset 134 + x"2B", -- Addr 3719, Offset 135 + x"97", -- Addr 3720, Offset 136 + x"FF", -- Addr 3721, Offset 137 + x"FF", -- Addr 3722, Offset 138 + x"FF", -- Addr 3723, Offset 139 + x"7D", -- Addr 3724, Offset 140 + x"5C", -- Addr 3725, Offset 141 + x"5C", -- Addr 3726, Offset 142 + x"DE", -- Addr 3727, Offset 143 + x"FF", -- Addr 3728, Offset 144 + x"FF", -- Addr 3729, Offset 145 + x"FF", -- Addr 3730, Offset 146 + x"FF", -- Addr 3731, Offset 147 + x"DB", -- Addr 3732, Offset 148 + x"4F", -- Addr 3733, Offset 149 + x"2B", -- Addr 3734, Offset 150 + x"DB", -- Addr 3735, Offset 151 + x"FF", -- Addr 3736, Offset 152 + x"FF", -- Addr 3737, Offset 153 + x"FF", -- Addr 3738, Offset 154 + x"BE", -- Addr 3739, Offset 155 + x"5C", -- Addr 3740, Offset 156 + x"5C", -- Addr 3741, Offset 157 + x"9D", -- Addr 3742, Offset 158 + x"FF", -- Addr 3743, Offset 159 + x"FF", -- Addr 3744, Offset 160 + x"FF", -- Addr 3745, Offset 161 + x"FF", -- Addr 3746, Offset 162 + x"FF", -- Addr 3747, Offset 163 + x"93", -- Addr 3748, Offset 164 + x"07", -- Addr 3749, Offset 165 + x"4F", -- Addr 3750, Offset 166 + x"FF", -- Addr 3751, Offset 167 + x"FF", -- Addr 3752, Offset 168 + x"FF", -- Addr 3753, Offset 169 + x"BE", -- Addr 3754, Offset 170 + x"7C", -- Addr 3755, Offset 171 + x"5C", -- Addr 3756, Offset 172 + x"9D", -- Addr 3757, Offset 173 + x"FF", -- Addr 3758, Offset 174 + x"FF", -- Addr 3759, Offset 175 + x"FF", -- Addr 3760, Offset 176 + x"FF", -- Addr 3761, Offset 177 + x"FF", -- Addr 3762, Offset 178 + x"B7", -- Addr 3763, Offset 179 + x"07", -- Addr 3764, Offset 180 + x"07", -- Addr 3765, Offset 181 + x"DB", -- Addr 3766, Offset 182 + x"FF", -- Addr 3767, Offset 183 + x"FF", -- Addr 3768, Offset 184 + x"DE", -- Addr 3769, Offset 185 + x"5C", -- Addr 3770, Offset 186 + x"5C", -- Addr 3771, Offset 187 + x"7D", -- Addr 3772, Offset 188 + x"FF", -- Addr 3773, Offset 189 + x"FF", -- Addr 3774, Offset 190 + x"FF", -- Addr 3775, Offset 191 + x"FF", -- Addr 3776, Offset 192 + x"FF", -- Addr 3777, Offset 193 + x"FF", -- Addr 3778, Offset 194 + x"2B", -- Addr 3779, Offset 195 + x"07", -- Addr 3780, Offset 196 + x"93", -- Addr 3781, Offset 197 + x"FF", -- Addr 3782, Offset 198 + x"FF", -- Addr 3783, Offset 199 + x"DF", -- Addr 3784, Offset 200 + x"7C", -- Addr 3785, Offset 201 + x"5C", -- Addr 3786, Offset 202 + x"9D", -- Addr 3787, Offset 203 + x"FF", -- Addr 3788, Offset 204 + x"FF", -- Addr 3789, Offset 205 + x"FF", -- Addr 3790, Offset 206 + x"FF", -- Addr 3791, Offset 207 + x"FF", -- Addr 3792, Offset 208 + x"FF", -- Addr 3793, Offset 209 + x"FF", -- Addr 3794, Offset 210 + x"B7", -- Addr 3795, Offset 211 + x"73", -- Addr 3796, Offset 212 + x"FF", -- Addr 3797, Offset 213 + x"FF", -- Addr 3798, Offset 214 + x"FF", -- Addr 3799, Offset 215 + x"9D", -- Addr 3800, Offset 216 + x"5C", -- Addr 3801, Offset 217 + x"9D", -- Addr 3802, Offset 218 + x"FF", -- Addr 3803, Offset 219 + x"FF", -- Addr 3804, Offset 220 + x"FF", -- Addr 3805, Offset 221 + x"FF", -- Addr 3806, Offset 222 + x"FF", -- Addr 3807, Offset 223 + x"FF", -- Addr 3808, Offset 224 + x"FF", -- Addr 3809, Offset 225 + x"FF", -- Addr 3810, Offset 226 + x"FF", -- Addr 3811, Offset 227 + x"FF", -- Addr 3812, Offset 228 + x"FF", -- Addr 3813, Offset 229 + x"FF", -- Addr 3814, Offset 230 + x"FF", -- Addr 3815, Offset 231 + x"DF", -- Addr 3816, Offset 232 + x"9D", -- Addr 3817, Offset 233 + x"DF", -- Addr 3818, Offset 234 + x"FF", -- Addr 3819, Offset 235 + x"FF", -- Addr 3820, Offset 236 + x"FF", -- Addr 3821, Offset 237 + x"FF", -- Addr 3822, Offset 238 + x"FF", -- Addr 3823, Offset 239 + x"FF", -- Addr 3824, Offset 240 + x"FF", -- Addr 3825, Offset 241 + x"FF", -- Addr 3826, Offset 242 + x"FF", -- Addr 3827, Offset 243 + x"FF", -- Addr 3828, Offset 244 + x"FF", -- Addr 3829, Offset 245 + x"FF", -- Addr 3830, Offset 246 + x"FF", -- Addr 3831, Offset 247 + x"FF", -- Addr 3832, Offset 248 + x"FF", -- Addr 3833, Offset 249 + x"FF", -- Addr 3834, Offset 250 + x"FF", -- Addr 3835, Offset 251 + x"FF", -- Addr 3836, Offset 252 + x"FF", -- Addr 3837, Offset 253 + x"FF", -- Addr 3838, Offset 254 + x"FF", -- Addr 3839, Offset 255 + + -- Sprite: 15, Basis-Adresse: 3840 + x"FF", -- Addr 3840, Offset 0 + x"FF", -- Addr 3841, Offset 1 + x"FF", -- Addr 3842, Offset 2 + x"FF", -- Addr 3843, Offset 3 + x"FF", -- Addr 3844, Offset 4 + x"FF", -- Addr 3845, Offset 5 + x"FF", -- Addr 3846, Offset 6 + x"FF", -- Addr 3847, Offset 7 + x"FF", -- Addr 3848, Offset 8 + x"FF", -- Addr 3849, Offset 9 + x"FF", -- Addr 3850, Offset 10 + x"FF", -- Addr 3851, Offset 11 + x"FF", -- Addr 3852, Offset 12 + x"FF", -- Addr 3853, Offset 13 + x"FF", -- Addr 3854, Offset 14 + x"FF", -- Addr 3855, Offset 15 + x"FF", -- Addr 3856, Offset 16 + x"FF", -- Addr 3857, Offset 17 + x"FF", -- Addr 3858, Offset 18 + x"FF", -- Addr 3859, Offset 19 + x"FF", -- Addr 3860, Offset 20 + x"FF", -- Addr 3861, Offset 21 + x"FF", -- Addr 3862, Offset 22 + x"FF", -- Addr 3863, Offset 23 + x"FF", -- Addr 3864, Offset 24 + x"FF", -- Addr 3865, Offset 25 + x"FF", -- Addr 3866, Offset 26 + x"FF", -- Addr 3867, Offset 27 + x"FF", -- Addr 3868, Offset 28 + x"FF", -- Addr 3869, Offset 29 + x"FF", -- Addr 3870, Offset 30 + x"FF", -- Addr 3871, Offset 31 + x"FF", -- Addr 3872, Offset 32 + x"FF", -- Addr 3873, Offset 33 + x"FF", -- Addr 3874, Offset 34 + x"FF", -- Addr 3875, Offset 35 + x"F2", -- Addr 3876, Offset 36 + x"F2", -- Addr 3877, Offset 37 + x"FF", -- Addr 3878, Offset 38 + x"FF", -- Addr 3879, Offset 39 + x"FF", -- Addr 3880, Offset 40 + x"FF", -- Addr 3881, Offset 41 + x"FF", -- Addr 3882, Offset 42 + x"FF", -- Addr 3883, Offset 43 + x"FF", -- Addr 3884, Offset 44 + x"FF", -- Addr 3885, Offset 45 + x"FF", -- Addr 3886, Offset 46 + x"FF", -- Addr 3887, Offset 47 + x"FF", -- Addr 3888, Offset 48 + x"FF", -- Addr 3889, Offset 49 + x"FF", -- Addr 3890, Offset 50 + x"F6", -- Addr 3891, Offset 51 + x"E0", -- Addr 3892, Offset 52 + x"E4", -- Addr 3893, Offset 53 + x"FB", -- Addr 3894, Offset 54 + x"FF", -- Addr 3895, Offset 55 + x"FF", -- Addr 3896, Offset 56 + x"FF", -- Addr 3897, Offset 57 + x"FF", -- Addr 3898, Offset 58 + x"FF", -- Addr 3899, Offset 59 + x"FF", -- Addr 3900, Offset 60 + x"FF", -- Addr 3901, Offset 61 + x"FF", -- Addr 3902, Offset 62 + x"FF", -- Addr 3903, Offset 63 + x"FF", -- Addr 3904, Offset 64 + x"FF", -- Addr 3905, Offset 65 + x"FB", -- Addr 3906, Offset 66 + x"E0", -- Addr 3907, Offset 67 + x"E0", -- Addr 3908, Offset 68 + x"E5", -- Addr 3909, Offset 69 + x"FB", -- Addr 3910, Offset 70 + x"FF", -- Addr 3911, Offset 71 + x"93", -- Addr 3912, Offset 72 + x"DB", -- Addr 3913, Offset 73 + x"FF", -- Addr 3914, Offset 74 + x"FF", -- Addr 3915, Offset 75 + x"FF", -- Addr 3916, Offset 76 + x"FF", -- Addr 3917, Offset 77 + x"FF", -- Addr 3918, Offset 78 + x"FF", -- Addr 3919, Offset 79 + x"FF", -- Addr 3920, Offset 80 + x"FF", -- Addr 3921, Offset 81 + x"E9", -- Addr 3922, Offset 82 + x"E0", -- Addr 3923, Offset 83 + x"E4", -- Addr 3924, Offset 84 + x"F6", -- Addr 3925, Offset 85 + x"FF", -- Addr 3926, Offset 86 + x"93", -- Addr 3927, Offset 87 + x"07", -- Addr 3928, Offset 88 + x"4F", -- Addr 3929, Offset 89 + x"FF", -- Addr 3930, Offset 90 + x"FF", -- Addr 3931, Offset 91 + x"FF", -- Addr 3932, Offset 92 + x"FF", -- Addr 3933, Offset 93 + x"FF", -- Addr 3934, Offset 94 + x"FF", -- Addr 3935, Offset 95 + x"FF", -- Addr 3936, Offset 96 + x"ED", -- Addr 3937, Offset 97 + x"E0", -- Addr 3938, Offset 98 + x"E0", -- Addr 3939, Offset 99 + x"F2", -- Addr 3940, Offset 100 + x"FF", -- Addr 3941, Offset 101 + x"DB", -- Addr 3942, Offset 102 + x"2B", -- Addr 3943, Offset 103 + x"07", -- Addr 3944, Offset 104 + x"2B", -- Addr 3945, Offset 105 + x"FF", -- Addr 3946, Offset 106 + x"FF", -- Addr 3947, Offset 107 + x"FF", -- Addr 3948, Offset 108 + x"BE", -- Addr 3949, Offset 109 + x"BE", -- Addr 3950, Offset 110 + x"FF", -- Addr 3951, Offset 111 + x"FA", -- Addr 3952, Offset 112 + x"E4", -- Addr 3953, Offset 113 + x"E0", -- Addr 3954, Offset 114 + x"E9", -- Addr 3955, Offset 115 + x"FB", -- Addr 3956, Offset 116 + x"DB", -- Addr 3957, Offset 117 + x"4F", -- Addr 3958, Offset 118 + x"07", -- Addr 3959, Offset 119 + x"07", -- Addr 3960, Offset 120 + x"B7", -- Addr 3961, Offset 121 + x"FF", -- Addr 3962, Offset 122 + x"FF", -- Addr 3963, Offset 123 + x"DE", -- Addr 3964, Offset 124 + x"5C", -- Addr 3965, Offset 125 + x"5C", -- Addr 3966, Offset 126 + x"DE", -- Addr 3967, Offset 127 + x"FF", -- Addr 3968, Offset 128 + x"ED", -- Addr 3969, Offset 129 + x"E9", -- Addr 3970, Offset 130 + x"FB", -- Addr 3971, Offset 131 + x"FF", -- Addr 3972, Offset 132 + x"73", -- Addr 3973, Offset 133 + x"07", -- Addr 3974, Offset 134 + x"2B", -- Addr 3975, Offset 135 + x"97", -- Addr 3976, Offset 136 + x"FF", -- Addr 3977, Offset 137 + x"FF", -- Addr 3978, Offset 138 + x"FF", -- Addr 3979, Offset 139 + x"7D", -- Addr 3980, Offset 140 + x"5C", -- Addr 3981, Offset 141 + x"5C", -- Addr 3982, Offset 142 + x"DE", -- Addr 3983, Offset 143 + x"FF", -- Addr 3984, Offset 144 + x"FF", -- Addr 3985, Offset 145 + x"FF", -- Addr 3986, Offset 146 + x"FF", -- Addr 3987, Offset 147 + x"DB", -- Addr 3988, Offset 148 + x"4F", -- Addr 3989, Offset 149 + x"2B", -- Addr 3990, Offset 150 + x"DB", -- Addr 3991, Offset 151 + x"FF", -- Addr 3992, Offset 152 + x"FF", -- Addr 3993, Offset 153 + x"FF", -- Addr 3994, Offset 154 + x"BE", -- Addr 3995, Offset 155 + x"5C", -- Addr 3996, Offset 156 + x"5C", -- Addr 3997, Offset 157 + x"9D", -- Addr 3998, Offset 158 + x"FF", -- Addr 3999, Offset 159 + x"FF", -- Addr 4000, Offset 160 + x"FF", -- Addr 4001, Offset 161 + x"FF", -- Addr 4002, Offset 162 + x"FF", -- Addr 4003, Offset 163 + x"93", -- Addr 4004, Offset 164 + x"07", -- Addr 4005, Offset 165 + x"4F", -- Addr 4006, Offset 166 + x"FF", -- Addr 4007, Offset 167 + x"FF", -- Addr 4008, Offset 168 + x"FF", -- Addr 4009, Offset 169 + x"BE", -- Addr 4010, Offset 170 + x"7C", -- Addr 4011, Offset 171 + x"5C", -- Addr 4012, Offset 172 + x"9D", -- Addr 4013, Offset 173 + x"FF", -- Addr 4014, Offset 174 + x"FF", -- Addr 4015, Offset 175 + x"FF", -- Addr 4016, Offset 176 + x"FF", -- Addr 4017, Offset 177 + x"FF", -- Addr 4018, Offset 178 + x"B7", -- Addr 4019, Offset 179 + x"07", -- Addr 4020, Offset 180 + x"07", -- Addr 4021, Offset 181 + x"DB", -- Addr 4022, Offset 182 + x"FF", -- Addr 4023, Offset 183 + x"FF", -- Addr 4024, Offset 184 + x"DE", -- Addr 4025, Offset 185 + x"5C", -- Addr 4026, Offset 186 + x"5C", -- Addr 4027, Offset 187 + x"7D", -- Addr 4028, Offset 188 + x"FF", -- Addr 4029, Offset 189 + x"FF", -- Addr 4030, Offset 190 + x"FF", -- Addr 4031, Offset 191 + x"FF", -- Addr 4032, Offset 192 + x"FF", -- Addr 4033, Offset 193 + x"FF", -- Addr 4034, Offset 194 + x"2B", -- Addr 4035, Offset 195 + x"07", -- Addr 4036, Offset 196 + x"93", -- Addr 4037, Offset 197 + x"FF", -- Addr 4038, Offset 198 + x"FF", -- Addr 4039, Offset 199 + x"DF", -- Addr 4040, Offset 200 + x"7C", -- Addr 4041, Offset 201 + x"5C", -- Addr 4042, Offset 202 + x"9D", -- Addr 4043, Offset 203 + x"FF", -- Addr 4044, Offset 204 + x"FF", -- Addr 4045, Offset 205 + x"FF", -- Addr 4046, Offset 206 + x"FF", -- Addr 4047, Offset 207 + x"FF", -- Addr 4048, Offset 208 + x"FF", -- Addr 4049, Offset 209 + x"FF", -- Addr 4050, Offset 210 + x"B7", -- Addr 4051, Offset 211 + x"73", -- Addr 4052, Offset 212 + x"FF", -- Addr 4053, Offset 213 + x"FF", -- Addr 4054, Offset 214 + x"FF", -- Addr 4055, Offset 215 + x"9D", -- Addr 4056, Offset 216 + x"5C", -- Addr 4057, Offset 217 + x"9D", -- Addr 4058, Offset 218 + x"FF", -- Addr 4059, Offset 219 + x"FF", -- Addr 4060, Offset 220 + x"FF", -- Addr 4061, Offset 221 + x"FF", -- Addr 4062, Offset 222 + x"FF", -- Addr 4063, Offset 223 + x"FF", -- Addr 4064, Offset 224 + x"FF", -- Addr 4065, Offset 225 + x"FF", -- Addr 4066, Offset 226 + x"FF", -- Addr 4067, Offset 227 + x"FF", -- Addr 4068, Offset 228 + x"FF", -- Addr 4069, Offset 229 + x"FF", -- Addr 4070, Offset 230 + x"FF", -- Addr 4071, Offset 231 + x"DF", -- Addr 4072, Offset 232 + x"9D", -- Addr 4073, Offset 233 + x"DF", -- Addr 4074, Offset 234 + x"FF", -- Addr 4075, Offset 235 + x"FF", -- Addr 4076, Offset 236 + x"FF", -- Addr 4077, Offset 237 + x"FF", -- Addr 4078, Offset 238 + x"FF", -- Addr 4079, Offset 239 + x"FF", -- Addr 4080, Offset 240 + x"FF", -- Addr 4081, Offset 241 + x"FF", -- Addr 4082, Offset 242 + x"FF", -- Addr 4083, Offset 243 + x"FF", -- Addr 4084, Offset 244 + x"FF", -- Addr 4085, Offset 245 + x"FF", -- Addr 4086, Offset 246 + x"FF", -- Addr 4087, Offset 247 + x"FF", -- Addr 4088, Offset 248 + x"FF", -- Addr 4089, Offset 249 + x"FF", -- Addr 4090, Offset 250 + x"FF", -- Addr 4091, Offset 251 + x"FF", -- Addr 4092, Offset 252 + x"FF", -- Addr 4093, Offset 253 + x"FF", -- Addr 4094, Offset 254 + x"FF", -- Addr 4095, Offset 255 + + -- Sprite: 16, Basis-Adresse: 4096 + x"FF", -- Addr 4096, Offset 0 + x"FF", -- Addr 4097, Offset 1 + x"FF", -- Addr 4098, Offset 2 + x"FF", -- Addr 4099, Offset 3 + x"FF", -- Addr 4100, Offset 4 + x"FF", -- Addr 4101, Offset 5 + x"FF", -- Addr 4102, Offset 6 + x"FF", -- Addr 4103, Offset 7 + x"FF", -- Addr 4104, Offset 8 + x"FF", -- Addr 4105, Offset 9 + x"FF", -- Addr 4106, Offset 10 + x"FF", -- Addr 4107, Offset 11 + x"FF", -- Addr 4108, Offset 12 + x"FF", -- Addr 4109, Offset 13 + x"FF", -- Addr 4110, Offset 14 + x"FF", -- Addr 4111, Offset 15 + x"FF", -- Addr 4112, Offset 16 + x"FF", -- Addr 4113, Offset 17 + x"FF", -- Addr 4114, Offset 18 + x"FF", -- Addr 4115, Offset 19 + x"FF", -- Addr 4116, Offset 20 + x"FF", -- Addr 4117, Offset 21 + x"FF", -- Addr 4118, Offset 22 + x"FF", -- Addr 4119, Offset 23 + x"FF", -- Addr 4120, Offset 24 + x"FF", -- Addr 4121, Offset 25 + x"FF", -- Addr 4122, Offset 26 + x"FF", -- Addr 4123, Offset 27 + x"FF", -- Addr 4124, Offset 28 + x"FF", -- Addr 4125, Offset 29 + x"FF", -- Addr 4126, Offset 30 + x"FF", -- Addr 4127, Offset 31 + x"FF", -- Addr 4128, Offset 32 + x"FF", -- Addr 4129, Offset 33 + x"FF", -- Addr 4130, Offset 34 + x"FF", -- Addr 4131, Offset 35 + x"F2", -- Addr 4132, Offset 36 + x"F2", -- Addr 4133, Offset 37 + x"FF", -- Addr 4134, Offset 38 + x"FF", -- Addr 4135, Offset 39 + x"FF", -- Addr 4136, Offset 40 + x"FF", -- Addr 4137, Offset 41 + x"FF", -- Addr 4138, Offset 42 + x"FF", -- Addr 4139, Offset 43 + x"FF", -- Addr 4140, Offset 44 + x"FF", -- Addr 4141, Offset 45 + x"FF", -- Addr 4142, Offset 46 + x"FF", -- Addr 4143, Offset 47 + x"FF", -- Addr 4144, Offset 48 + x"FF", -- Addr 4145, Offset 49 + x"FF", -- Addr 4146, Offset 50 + x"F6", -- Addr 4147, Offset 51 + x"E0", -- Addr 4148, Offset 52 + x"E4", -- Addr 4149, Offset 53 + x"FB", -- Addr 4150, Offset 54 + x"FF", -- Addr 4151, Offset 55 + x"FF", -- Addr 4152, Offset 56 + x"FF", -- Addr 4153, Offset 57 + x"FF", -- Addr 4154, Offset 58 + x"FF", -- Addr 4155, Offset 59 + x"FF", -- Addr 4156, Offset 60 + x"FF", -- Addr 4157, Offset 61 + x"FF", -- Addr 4158, Offset 62 + x"FF", -- Addr 4159, Offset 63 + x"FF", -- Addr 4160, Offset 64 + x"FF", -- Addr 4161, Offset 65 + x"FB", -- Addr 4162, Offset 66 + x"E0", -- Addr 4163, Offset 67 + x"E0", -- Addr 4164, Offset 68 + x"E5", -- Addr 4165, Offset 69 + x"FB", -- Addr 4166, Offset 70 + x"FF", -- Addr 4167, Offset 71 + x"93", -- Addr 4168, Offset 72 + x"DB", -- Addr 4169, Offset 73 + x"FF", -- Addr 4170, Offset 74 + x"FF", -- Addr 4171, Offset 75 + x"FF", -- Addr 4172, Offset 76 + x"FF", -- Addr 4173, Offset 77 + x"FF", -- Addr 4174, Offset 78 + x"FF", -- Addr 4175, Offset 79 + x"FF", -- Addr 4176, Offset 80 + x"FF", -- Addr 4177, Offset 81 + x"E9", -- Addr 4178, Offset 82 + x"E0", -- Addr 4179, Offset 83 + x"E4", -- Addr 4180, Offset 84 + x"F6", -- Addr 4181, Offset 85 + x"FF", -- Addr 4182, Offset 86 + x"93", -- Addr 4183, Offset 87 + x"07", -- Addr 4184, Offset 88 + x"4F", -- Addr 4185, Offset 89 + x"FF", -- Addr 4186, Offset 90 + x"FF", -- Addr 4187, Offset 91 + x"FF", -- Addr 4188, Offset 92 + x"FF", -- Addr 4189, Offset 93 + x"FF", -- Addr 4190, Offset 94 + x"FF", -- Addr 4191, Offset 95 + x"FF", -- Addr 4192, Offset 96 + x"ED", -- Addr 4193, Offset 97 + x"E0", -- Addr 4194, Offset 98 + x"E0", -- Addr 4195, Offset 99 + x"F2", -- Addr 4196, Offset 100 + x"FF", -- Addr 4197, Offset 101 + x"DB", -- Addr 4198, Offset 102 + x"2B", -- Addr 4199, Offset 103 + x"07", -- Addr 4200, Offset 104 + x"2B", -- Addr 4201, Offset 105 + x"FF", -- Addr 4202, Offset 106 + x"FF", -- Addr 4203, Offset 107 + x"FF", -- Addr 4204, Offset 108 + x"BE", -- Addr 4205, Offset 109 + x"BE", -- Addr 4206, Offset 110 + x"FF", -- Addr 4207, Offset 111 + x"FA", -- Addr 4208, Offset 112 + x"E4", -- Addr 4209, Offset 113 + x"E0", -- Addr 4210, Offset 114 + x"E9", -- Addr 4211, Offset 115 + x"FB", -- Addr 4212, Offset 116 + x"DB", -- Addr 4213, Offset 117 + x"4F", -- Addr 4214, Offset 118 + x"07", -- Addr 4215, Offset 119 + x"07", -- Addr 4216, Offset 120 + x"B7", -- Addr 4217, Offset 121 + x"FF", -- Addr 4218, Offset 122 + x"FF", -- Addr 4219, Offset 123 + x"DE", -- Addr 4220, Offset 124 + x"5C", -- Addr 4221, Offset 125 + x"5C", -- Addr 4222, Offset 126 + x"DE", -- Addr 4223, Offset 127 + x"FF", -- Addr 4224, Offset 128 + x"ED", -- Addr 4225, Offset 129 + x"E9", -- Addr 4226, Offset 130 + x"FB", -- Addr 4227, Offset 131 + x"FF", -- Addr 4228, Offset 132 + x"73", -- Addr 4229, Offset 133 + x"07", -- Addr 4230, Offset 134 + x"2B", -- Addr 4231, Offset 135 + x"97", -- Addr 4232, Offset 136 + x"FF", -- Addr 4233, Offset 137 + x"FF", -- Addr 4234, Offset 138 + x"FF", -- Addr 4235, Offset 139 + x"7D", -- Addr 4236, Offset 140 + x"5C", -- Addr 4237, Offset 141 + x"5C", -- Addr 4238, Offset 142 + x"DE", -- Addr 4239, Offset 143 + x"FF", -- Addr 4240, Offset 144 + x"FF", -- Addr 4241, Offset 145 + x"FF", -- Addr 4242, Offset 146 + x"FF", -- Addr 4243, Offset 147 + x"DB", -- Addr 4244, Offset 148 + x"4F", -- Addr 4245, Offset 149 + x"2B", -- Addr 4246, Offset 150 + x"DB", -- Addr 4247, Offset 151 + x"FF", -- Addr 4248, Offset 152 + x"FF", -- Addr 4249, Offset 153 + x"FF", -- Addr 4250, Offset 154 + x"BE", -- Addr 4251, Offset 155 + x"5C", -- Addr 4252, Offset 156 + x"5C", -- Addr 4253, Offset 157 + x"9D", -- Addr 4254, Offset 158 + x"FF", -- Addr 4255, Offset 159 + x"FF", -- Addr 4256, Offset 160 + x"FF", -- Addr 4257, Offset 161 + x"FF", -- Addr 4258, Offset 162 + x"FF", -- Addr 4259, Offset 163 + x"93", -- Addr 4260, Offset 164 + x"07", -- Addr 4261, Offset 165 + x"4F", -- Addr 4262, Offset 166 + x"FF", -- Addr 4263, Offset 167 + x"FF", -- Addr 4264, Offset 168 + x"FF", -- Addr 4265, Offset 169 + x"BE", -- Addr 4266, Offset 170 + x"7C", -- Addr 4267, Offset 171 + x"5C", -- Addr 4268, Offset 172 + x"9D", -- Addr 4269, Offset 173 + x"FF", -- Addr 4270, Offset 174 + x"FF", -- Addr 4271, Offset 175 + x"FF", -- Addr 4272, Offset 176 + x"FF", -- Addr 4273, Offset 177 + x"FF", -- Addr 4274, Offset 178 + x"B7", -- Addr 4275, Offset 179 + x"07", -- Addr 4276, Offset 180 + x"07", -- Addr 4277, Offset 181 + x"DB", -- Addr 4278, Offset 182 + x"FF", -- Addr 4279, Offset 183 + x"FF", -- Addr 4280, Offset 184 + x"DE", -- Addr 4281, Offset 185 + x"5C", -- Addr 4282, Offset 186 + x"5C", -- Addr 4283, Offset 187 + x"7D", -- Addr 4284, Offset 188 + x"FF", -- Addr 4285, Offset 189 + x"FF", -- Addr 4286, Offset 190 + x"FF", -- Addr 4287, Offset 191 + x"FF", -- Addr 4288, Offset 192 + x"FF", -- Addr 4289, Offset 193 + x"FF", -- Addr 4290, Offset 194 + x"2B", -- Addr 4291, Offset 195 + x"07", -- Addr 4292, Offset 196 + x"93", -- Addr 4293, Offset 197 + x"FF", -- Addr 4294, Offset 198 + x"FF", -- Addr 4295, Offset 199 + x"DF", -- Addr 4296, Offset 200 + x"7C", -- Addr 4297, Offset 201 + x"5C", -- Addr 4298, Offset 202 + x"9D", -- Addr 4299, Offset 203 + x"FF", -- Addr 4300, Offset 204 + x"FF", -- Addr 4301, Offset 205 + x"FF", -- Addr 4302, Offset 206 + x"FF", -- Addr 4303, Offset 207 + x"FF", -- Addr 4304, Offset 208 + x"FF", -- Addr 4305, Offset 209 + x"FF", -- Addr 4306, Offset 210 + x"B7", -- Addr 4307, Offset 211 + x"73", -- Addr 4308, Offset 212 + x"FF", -- Addr 4309, Offset 213 + x"FF", -- Addr 4310, Offset 214 + x"FF", -- Addr 4311, Offset 215 + x"9D", -- Addr 4312, Offset 216 + x"5C", -- Addr 4313, Offset 217 + x"9D", -- Addr 4314, Offset 218 + x"FF", -- Addr 4315, Offset 219 + x"FF", -- Addr 4316, Offset 220 + x"FF", -- Addr 4317, Offset 221 + x"FF", -- Addr 4318, Offset 222 + x"FF", -- Addr 4319, Offset 223 + x"FF", -- Addr 4320, Offset 224 + x"FF", -- Addr 4321, Offset 225 + x"FF", -- Addr 4322, Offset 226 + x"FF", -- Addr 4323, Offset 227 + x"FF", -- Addr 4324, Offset 228 + x"FF", -- Addr 4325, Offset 229 + x"FF", -- Addr 4326, Offset 230 + x"FF", -- Addr 4327, Offset 231 + x"DF", -- Addr 4328, Offset 232 + x"9D", -- Addr 4329, Offset 233 + x"DF", -- Addr 4330, Offset 234 + x"FF", -- Addr 4331, Offset 235 + x"FF", -- Addr 4332, Offset 236 + x"FF", -- Addr 4333, Offset 237 + x"FF", -- Addr 4334, Offset 238 + x"FF", -- Addr 4335, Offset 239 + x"FF", -- Addr 4336, Offset 240 + x"FF", -- Addr 4337, Offset 241 + x"FF", -- Addr 4338, Offset 242 + x"FF", -- Addr 4339, Offset 243 + x"FF", -- Addr 4340, Offset 244 + x"FF", -- Addr 4341, Offset 245 + x"FF", -- Addr 4342, Offset 246 + x"FF", -- Addr 4343, Offset 247 + x"FF", -- Addr 4344, Offset 248 + x"FF", -- Addr 4345, Offset 249 + x"FF", -- Addr 4346, Offset 250 + x"FF", -- Addr 4347, Offset 251 + x"FF", -- Addr 4348, Offset 252 + x"FF", -- Addr 4349, Offset 253 + x"FF", -- Addr 4350, Offset 254 + x"FF", -- Addr 4351, Offset 255 + + -- Sprite: 17, Basis-Adresse: 4352 + x"FF", -- Addr 4352, Offset 0 + x"FF", -- Addr 4353, Offset 1 + x"FF", -- Addr 4354, Offset 2 + x"FF", -- Addr 4355, Offset 3 + x"FF", -- Addr 4356, Offset 4 + x"FF", -- Addr 4357, Offset 5 + x"FF", -- Addr 4358, Offset 6 + x"FF", -- Addr 4359, Offset 7 + x"FF", -- Addr 4360, Offset 8 + x"FF", -- Addr 4361, Offset 9 + x"FF", -- Addr 4362, Offset 10 + x"FF", -- Addr 4363, Offset 11 + x"FF", -- Addr 4364, Offset 12 + x"FF", -- Addr 4365, Offset 13 + x"FF", -- Addr 4366, Offset 14 + x"FF", -- Addr 4367, Offset 15 + x"FF", -- Addr 4368, Offset 16 + x"FF", -- Addr 4369, Offset 17 + x"FF", -- Addr 4370, Offset 18 + x"FF", -- Addr 4371, Offset 19 + x"FF", -- Addr 4372, Offset 20 + x"FF", -- Addr 4373, Offset 21 + x"FF", -- Addr 4374, Offset 22 + x"FF", -- Addr 4375, Offset 23 + x"FF", -- Addr 4376, Offset 24 + x"FF", -- Addr 4377, Offset 25 + x"FF", -- Addr 4378, Offset 26 + x"FF", -- Addr 4379, Offset 27 + x"FF", -- Addr 4380, Offset 28 + x"FF", -- Addr 4381, Offset 29 + x"FF", -- Addr 4382, Offset 30 + x"FF", -- Addr 4383, Offset 31 + x"FF", -- Addr 4384, Offset 32 + x"FF", -- Addr 4385, Offset 33 + x"FF", -- Addr 4386, Offset 34 + x"FF", -- Addr 4387, Offset 35 + x"F2", -- Addr 4388, Offset 36 + x"F2", -- Addr 4389, Offset 37 + x"FF", -- Addr 4390, Offset 38 + x"FF", -- Addr 4391, Offset 39 + x"FF", -- Addr 4392, Offset 40 + x"FF", -- Addr 4393, Offset 41 + x"FF", -- Addr 4394, Offset 42 + x"FF", -- Addr 4395, Offset 43 + x"FF", -- Addr 4396, Offset 44 + x"FF", -- Addr 4397, Offset 45 + x"FF", -- Addr 4398, Offset 46 + x"FF", -- Addr 4399, Offset 47 + x"FF", -- Addr 4400, Offset 48 + x"FF", -- Addr 4401, Offset 49 + x"FF", -- Addr 4402, Offset 50 + x"F6", -- Addr 4403, Offset 51 + x"E0", -- Addr 4404, Offset 52 + x"E4", -- Addr 4405, Offset 53 + x"FB", -- Addr 4406, Offset 54 + x"FF", -- Addr 4407, Offset 55 + x"FF", -- Addr 4408, Offset 56 + x"FF", -- Addr 4409, Offset 57 + x"FF", -- Addr 4410, Offset 58 + x"FF", -- Addr 4411, Offset 59 + x"FF", -- Addr 4412, Offset 60 + x"FF", -- Addr 4413, Offset 61 + x"FF", -- Addr 4414, Offset 62 + x"FF", -- Addr 4415, Offset 63 + x"FF", -- Addr 4416, Offset 64 + x"FF", -- Addr 4417, Offset 65 + x"FB", -- Addr 4418, Offset 66 + x"E0", -- Addr 4419, Offset 67 + x"E0", -- Addr 4420, Offset 68 + x"E5", -- Addr 4421, Offset 69 + x"FB", -- Addr 4422, Offset 70 + x"FF", -- Addr 4423, Offset 71 + x"93", -- Addr 4424, Offset 72 + x"DB", -- Addr 4425, Offset 73 + x"FF", -- Addr 4426, Offset 74 + x"FF", -- Addr 4427, Offset 75 + x"FF", -- Addr 4428, Offset 76 + x"FF", -- Addr 4429, Offset 77 + x"FF", -- Addr 4430, Offset 78 + x"FF", -- Addr 4431, Offset 79 + x"FF", -- Addr 4432, Offset 80 + x"FF", -- Addr 4433, Offset 81 + x"E9", -- Addr 4434, Offset 82 + x"E0", -- Addr 4435, Offset 83 + x"E4", -- Addr 4436, Offset 84 + x"F6", -- Addr 4437, Offset 85 + x"FF", -- Addr 4438, Offset 86 + x"93", -- Addr 4439, Offset 87 + x"07", -- Addr 4440, Offset 88 + x"4F", -- Addr 4441, Offset 89 + x"FF", -- Addr 4442, Offset 90 + x"FF", -- Addr 4443, Offset 91 + x"FF", -- Addr 4444, Offset 92 + x"FF", -- Addr 4445, Offset 93 + x"FF", -- Addr 4446, Offset 94 + x"FF", -- Addr 4447, Offset 95 + x"FF", -- Addr 4448, Offset 96 + x"ED", -- Addr 4449, Offset 97 + x"E0", -- Addr 4450, Offset 98 + x"E0", -- Addr 4451, Offset 99 + x"F2", -- Addr 4452, Offset 100 + x"FF", -- Addr 4453, Offset 101 + x"DB", -- Addr 4454, Offset 102 + x"2B", -- Addr 4455, Offset 103 + x"07", -- Addr 4456, Offset 104 + x"2B", -- Addr 4457, Offset 105 + x"FF", -- Addr 4458, Offset 106 + x"FF", -- Addr 4459, Offset 107 + x"FF", -- Addr 4460, Offset 108 + x"BE", -- Addr 4461, Offset 109 + x"BE", -- Addr 4462, Offset 110 + x"FF", -- Addr 4463, Offset 111 + x"FA", -- Addr 4464, Offset 112 + x"E4", -- Addr 4465, Offset 113 + x"E0", -- Addr 4466, Offset 114 + x"E9", -- Addr 4467, Offset 115 + x"FB", -- Addr 4468, Offset 116 + x"DB", -- Addr 4469, Offset 117 + x"4F", -- Addr 4470, Offset 118 + x"07", -- Addr 4471, Offset 119 + x"07", -- Addr 4472, Offset 120 + x"B7", -- Addr 4473, Offset 121 + x"FF", -- Addr 4474, Offset 122 + x"FF", -- Addr 4475, Offset 123 + x"DE", -- Addr 4476, Offset 124 + x"5C", -- Addr 4477, Offset 125 + x"5C", -- Addr 4478, Offset 126 + x"DE", -- Addr 4479, Offset 127 + x"FF", -- Addr 4480, Offset 128 + x"ED", -- Addr 4481, Offset 129 + x"E9", -- Addr 4482, Offset 130 + x"FB", -- Addr 4483, Offset 131 + x"FF", -- Addr 4484, Offset 132 + x"73", -- Addr 4485, Offset 133 + x"07", -- Addr 4486, Offset 134 + x"2B", -- Addr 4487, Offset 135 + x"97", -- Addr 4488, Offset 136 + x"FF", -- Addr 4489, Offset 137 + x"FF", -- Addr 4490, Offset 138 + x"FF", -- Addr 4491, Offset 139 + x"7D", -- Addr 4492, Offset 140 + x"5C", -- Addr 4493, Offset 141 + x"5C", -- Addr 4494, Offset 142 + x"DE", -- Addr 4495, Offset 143 + x"FF", -- Addr 4496, Offset 144 + x"FF", -- Addr 4497, Offset 145 + x"FF", -- Addr 4498, Offset 146 + x"FF", -- Addr 4499, Offset 147 + x"DB", -- Addr 4500, Offset 148 + x"4F", -- Addr 4501, Offset 149 + x"2B", -- Addr 4502, Offset 150 + x"DB", -- Addr 4503, Offset 151 + x"FF", -- Addr 4504, Offset 152 + x"FF", -- Addr 4505, Offset 153 + x"FF", -- Addr 4506, Offset 154 + x"BE", -- Addr 4507, Offset 155 + x"5C", -- Addr 4508, Offset 156 + x"5C", -- Addr 4509, Offset 157 + x"9D", -- Addr 4510, Offset 158 + x"FF", -- Addr 4511, Offset 159 + x"FF", -- Addr 4512, Offset 160 + x"FF", -- Addr 4513, Offset 161 + x"FF", -- Addr 4514, Offset 162 + x"FF", -- Addr 4515, Offset 163 + x"93", -- Addr 4516, Offset 164 + x"07", -- Addr 4517, Offset 165 + x"4F", -- Addr 4518, Offset 166 + x"FF", -- Addr 4519, Offset 167 + x"FF", -- Addr 4520, Offset 168 + x"FF", -- Addr 4521, Offset 169 + x"BE", -- Addr 4522, Offset 170 + x"7C", -- Addr 4523, Offset 171 + x"5C", -- Addr 4524, Offset 172 + x"9D", -- Addr 4525, Offset 173 + x"FF", -- Addr 4526, Offset 174 + x"FF", -- Addr 4527, Offset 175 + x"FF", -- Addr 4528, Offset 176 + x"FF", -- Addr 4529, Offset 177 + x"FF", -- Addr 4530, Offset 178 + x"B7", -- Addr 4531, Offset 179 + x"07", -- Addr 4532, Offset 180 + x"07", -- Addr 4533, Offset 181 + x"DB", -- Addr 4534, Offset 182 + x"FF", -- Addr 4535, Offset 183 + x"FF", -- Addr 4536, Offset 184 + x"DE", -- Addr 4537, Offset 185 + x"5C", -- Addr 4538, Offset 186 + x"5C", -- Addr 4539, Offset 187 + x"7D", -- Addr 4540, Offset 188 + x"FF", -- Addr 4541, Offset 189 + x"FF", -- Addr 4542, Offset 190 + x"FF", -- Addr 4543, Offset 191 + x"FF", -- Addr 4544, Offset 192 + x"FF", -- Addr 4545, Offset 193 + x"FF", -- Addr 4546, Offset 194 + x"2B", -- Addr 4547, Offset 195 + x"07", -- Addr 4548, Offset 196 + x"93", -- Addr 4549, Offset 197 + x"FF", -- Addr 4550, Offset 198 + x"FF", -- Addr 4551, Offset 199 + x"DF", -- Addr 4552, Offset 200 + x"7C", -- Addr 4553, Offset 201 + x"5C", -- Addr 4554, Offset 202 + x"9D", -- Addr 4555, Offset 203 + x"FF", -- Addr 4556, Offset 204 + x"FF", -- Addr 4557, Offset 205 + x"FF", -- Addr 4558, Offset 206 + x"FF", -- Addr 4559, Offset 207 + x"FF", -- Addr 4560, Offset 208 + x"FF", -- Addr 4561, Offset 209 + x"FF", -- Addr 4562, Offset 210 + x"B7", -- Addr 4563, Offset 211 + x"73", -- Addr 4564, Offset 212 + x"FF", -- Addr 4565, Offset 213 + x"FF", -- Addr 4566, Offset 214 + x"FF", -- Addr 4567, Offset 215 + x"9D", -- Addr 4568, Offset 216 + x"5C", -- Addr 4569, Offset 217 + x"9D", -- Addr 4570, Offset 218 + x"FF", -- Addr 4571, Offset 219 + x"FF", -- Addr 4572, Offset 220 + x"FF", -- Addr 4573, Offset 221 + x"FF", -- Addr 4574, Offset 222 + x"FF", -- Addr 4575, Offset 223 + x"FF", -- Addr 4576, Offset 224 + x"FF", -- Addr 4577, Offset 225 + x"FF", -- Addr 4578, Offset 226 + x"FF", -- Addr 4579, Offset 227 + x"FF", -- Addr 4580, Offset 228 + x"FF", -- Addr 4581, Offset 229 + x"FF", -- Addr 4582, Offset 230 + x"FF", -- Addr 4583, Offset 231 + x"DF", -- Addr 4584, Offset 232 + x"9D", -- Addr 4585, Offset 233 + x"DF", -- Addr 4586, Offset 234 + x"FF", -- Addr 4587, Offset 235 + x"FF", -- Addr 4588, Offset 236 + x"FF", -- Addr 4589, Offset 237 + x"FF", -- Addr 4590, Offset 238 + x"FF", -- Addr 4591, Offset 239 + x"FF", -- Addr 4592, Offset 240 + x"FF", -- Addr 4593, Offset 241 + x"FF", -- Addr 4594, Offset 242 + x"FF", -- Addr 4595, Offset 243 + x"FF", -- Addr 4596, Offset 244 + x"FF", -- Addr 4597, Offset 245 + x"FF", -- Addr 4598, Offset 246 + x"FF", -- Addr 4599, Offset 247 + x"FF", -- Addr 4600, Offset 248 + x"FF", -- Addr 4601, Offset 249 + x"FF", -- Addr 4602, Offset 250 + x"FF", -- Addr 4603, Offset 251 + x"FF", -- Addr 4604, Offset 252 + x"FF", -- Addr 4605, Offset 253 + x"FF", -- Addr 4606, Offset 254 + x"FF", -- Addr 4607, Offset 255 + + -- Sprite: 18, Basis-Adresse: 4608 + x"FF", -- Addr 4608, Offset 0 + x"FF", -- Addr 4609, Offset 1 + x"FF", -- Addr 4610, Offset 2 + x"FF", -- Addr 4611, Offset 3 + x"FF", -- Addr 4612, Offset 4 + x"FF", -- Addr 4613, Offset 5 + x"FF", -- Addr 4614, Offset 6 + x"FF", -- Addr 4615, Offset 7 + x"FF", -- Addr 4616, Offset 8 + x"FF", -- Addr 4617, Offset 9 + x"FF", -- Addr 4618, Offset 10 + x"FF", -- Addr 4619, Offset 11 + x"FF", -- Addr 4620, Offset 12 + x"FF", -- Addr 4621, Offset 13 + x"FF", -- Addr 4622, Offset 14 + x"FF", -- Addr 4623, Offset 15 + x"FF", -- Addr 4624, Offset 16 + x"FF", -- Addr 4625, Offset 17 + x"FF", -- Addr 4626, Offset 18 + x"FF", -- Addr 4627, Offset 19 + x"FF", -- Addr 4628, Offset 20 + x"FF", -- Addr 4629, Offset 21 + x"FF", -- Addr 4630, Offset 22 + x"FF", -- Addr 4631, Offset 23 + x"FF", -- Addr 4632, Offset 24 + x"FF", -- Addr 4633, Offset 25 + x"FF", -- Addr 4634, Offset 26 + x"FF", -- Addr 4635, Offset 27 + x"FF", -- Addr 4636, Offset 28 + x"FF", -- Addr 4637, Offset 29 + x"FF", -- Addr 4638, Offset 30 + x"FF", -- Addr 4639, Offset 31 + x"FF", -- Addr 4640, Offset 32 + x"FF", -- Addr 4641, Offset 33 + x"FF", -- Addr 4642, Offset 34 + x"FF", -- Addr 4643, Offset 35 + x"F2", -- Addr 4644, Offset 36 + x"F2", -- Addr 4645, Offset 37 + x"FF", -- Addr 4646, Offset 38 + x"FF", -- Addr 4647, Offset 39 + x"FF", -- Addr 4648, Offset 40 + x"FF", -- Addr 4649, Offset 41 + x"FF", -- Addr 4650, Offset 42 + x"FF", -- Addr 4651, Offset 43 + x"FF", -- Addr 4652, Offset 44 + x"FF", -- Addr 4653, Offset 45 + x"FF", -- Addr 4654, Offset 46 + x"FF", -- Addr 4655, Offset 47 + x"FF", -- Addr 4656, Offset 48 + x"FF", -- Addr 4657, Offset 49 + x"FF", -- Addr 4658, Offset 50 + x"F6", -- Addr 4659, Offset 51 + x"E0", -- Addr 4660, Offset 52 + x"E4", -- Addr 4661, Offset 53 + x"FB", -- Addr 4662, Offset 54 + x"FF", -- Addr 4663, Offset 55 + x"FF", -- Addr 4664, Offset 56 + x"FF", -- Addr 4665, Offset 57 + x"FF", -- Addr 4666, Offset 58 + x"FF", -- Addr 4667, Offset 59 + x"FF", -- Addr 4668, Offset 60 + x"FF", -- Addr 4669, Offset 61 + x"FF", -- Addr 4670, Offset 62 + x"FF", -- Addr 4671, Offset 63 + x"FF", -- Addr 4672, Offset 64 + x"FF", -- Addr 4673, Offset 65 + x"FB", -- Addr 4674, Offset 66 + x"E0", -- Addr 4675, Offset 67 + x"E0", -- Addr 4676, Offset 68 + x"E5", -- Addr 4677, Offset 69 + x"FB", -- Addr 4678, Offset 70 + x"FF", -- Addr 4679, Offset 71 + x"93", -- Addr 4680, Offset 72 + x"DB", -- Addr 4681, Offset 73 + x"FF", -- Addr 4682, Offset 74 + x"FF", -- Addr 4683, Offset 75 + x"FF", -- Addr 4684, Offset 76 + x"FF", -- Addr 4685, Offset 77 + x"FF", -- Addr 4686, Offset 78 + x"FF", -- Addr 4687, Offset 79 + x"FF", -- Addr 4688, Offset 80 + x"FF", -- Addr 4689, Offset 81 + x"E9", -- Addr 4690, Offset 82 + x"E0", -- Addr 4691, Offset 83 + x"E4", -- Addr 4692, Offset 84 + x"F6", -- Addr 4693, Offset 85 + x"FF", -- Addr 4694, Offset 86 + x"93", -- Addr 4695, Offset 87 + x"07", -- Addr 4696, Offset 88 + x"4F", -- Addr 4697, Offset 89 + x"FF", -- Addr 4698, Offset 90 + x"FF", -- Addr 4699, Offset 91 + x"FF", -- Addr 4700, Offset 92 + x"FF", -- Addr 4701, Offset 93 + x"FF", -- Addr 4702, Offset 94 + x"FF", -- Addr 4703, Offset 95 + x"FF", -- Addr 4704, Offset 96 + x"ED", -- Addr 4705, Offset 97 + x"E0", -- Addr 4706, Offset 98 + x"E0", -- Addr 4707, Offset 99 + x"F2", -- Addr 4708, Offset 100 + x"FF", -- Addr 4709, Offset 101 + x"DB", -- Addr 4710, Offset 102 + x"2B", -- Addr 4711, Offset 103 + x"07", -- Addr 4712, Offset 104 + x"2B", -- Addr 4713, Offset 105 + x"FF", -- Addr 4714, Offset 106 + x"FF", -- Addr 4715, Offset 107 + x"FF", -- Addr 4716, Offset 108 + x"BE", -- Addr 4717, Offset 109 + x"BE", -- Addr 4718, Offset 110 + x"FF", -- Addr 4719, Offset 111 + x"FA", -- Addr 4720, Offset 112 + x"E4", -- Addr 4721, Offset 113 + x"E0", -- Addr 4722, Offset 114 + x"E9", -- Addr 4723, Offset 115 + x"FB", -- Addr 4724, Offset 116 + x"DB", -- Addr 4725, Offset 117 + x"4F", -- Addr 4726, Offset 118 + x"07", -- Addr 4727, Offset 119 + x"07", -- Addr 4728, Offset 120 + x"B7", -- Addr 4729, Offset 121 + x"FF", -- Addr 4730, Offset 122 + x"FF", -- Addr 4731, Offset 123 + x"DE", -- Addr 4732, Offset 124 + x"5C", -- Addr 4733, Offset 125 + x"5C", -- Addr 4734, Offset 126 + x"DE", -- Addr 4735, Offset 127 + x"FF", -- Addr 4736, Offset 128 + x"ED", -- Addr 4737, Offset 129 + x"E9", -- Addr 4738, Offset 130 + x"FB", -- Addr 4739, Offset 131 + x"FF", -- Addr 4740, Offset 132 + x"73", -- Addr 4741, Offset 133 + x"07", -- Addr 4742, Offset 134 + x"2B", -- Addr 4743, Offset 135 + x"97", -- Addr 4744, Offset 136 + x"FF", -- Addr 4745, Offset 137 + x"FF", -- Addr 4746, Offset 138 + x"FF", -- Addr 4747, Offset 139 + x"7D", -- Addr 4748, Offset 140 + x"5C", -- Addr 4749, Offset 141 + x"5C", -- Addr 4750, Offset 142 + x"DE", -- Addr 4751, Offset 143 + x"FF", -- Addr 4752, Offset 144 + x"FF", -- Addr 4753, Offset 145 + x"FF", -- Addr 4754, Offset 146 + x"FF", -- Addr 4755, Offset 147 + x"DB", -- Addr 4756, Offset 148 + x"4F", -- Addr 4757, Offset 149 + x"2B", -- Addr 4758, Offset 150 + x"DB", -- Addr 4759, Offset 151 + x"FF", -- Addr 4760, Offset 152 + x"FF", -- Addr 4761, Offset 153 + x"FF", -- Addr 4762, Offset 154 + x"BE", -- Addr 4763, Offset 155 + x"5C", -- Addr 4764, Offset 156 + x"5C", -- Addr 4765, Offset 157 + x"9D", -- Addr 4766, Offset 158 + x"FF", -- Addr 4767, Offset 159 + x"FF", -- Addr 4768, Offset 160 + x"FF", -- Addr 4769, Offset 161 + x"FF", -- Addr 4770, Offset 162 + x"FF", -- Addr 4771, Offset 163 + x"93", -- Addr 4772, Offset 164 + x"07", -- Addr 4773, Offset 165 + x"4F", -- Addr 4774, Offset 166 + x"FF", -- Addr 4775, Offset 167 + x"FF", -- Addr 4776, Offset 168 + x"FF", -- Addr 4777, Offset 169 + x"BE", -- Addr 4778, Offset 170 + x"7C", -- Addr 4779, Offset 171 + x"5C", -- Addr 4780, Offset 172 + x"9D", -- Addr 4781, Offset 173 + x"FF", -- Addr 4782, Offset 174 + x"FF", -- Addr 4783, Offset 175 + x"FF", -- Addr 4784, Offset 176 + x"FF", -- Addr 4785, Offset 177 + x"FF", -- Addr 4786, Offset 178 + x"B7", -- Addr 4787, Offset 179 + x"07", -- Addr 4788, Offset 180 + x"07", -- Addr 4789, Offset 181 + x"DB", -- Addr 4790, Offset 182 + x"FF", -- Addr 4791, Offset 183 + x"FF", -- Addr 4792, Offset 184 + x"DE", -- Addr 4793, Offset 185 + x"5C", -- Addr 4794, Offset 186 + x"5C", -- Addr 4795, Offset 187 + x"7D", -- Addr 4796, Offset 188 + x"FF", -- Addr 4797, Offset 189 + x"FF", -- Addr 4798, Offset 190 + x"FF", -- Addr 4799, Offset 191 + x"FF", -- Addr 4800, Offset 192 + x"FF", -- Addr 4801, Offset 193 + x"FF", -- Addr 4802, Offset 194 + x"2B", -- Addr 4803, Offset 195 + x"07", -- Addr 4804, Offset 196 + x"93", -- Addr 4805, Offset 197 + x"FF", -- Addr 4806, Offset 198 + x"FF", -- Addr 4807, Offset 199 + x"DF", -- Addr 4808, Offset 200 + x"7C", -- Addr 4809, Offset 201 + x"5C", -- Addr 4810, Offset 202 + x"9D", -- Addr 4811, Offset 203 + x"FF", -- Addr 4812, Offset 204 + x"FF", -- Addr 4813, Offset 205 + x"FF", -- Addr 4814, Offset 206 + x"FF", -- Addr 4815, Offset 207 + x"FF", -- Addr 4816, Offset 208 + x"FF", -- Addr 4817, Offset 209 + x"FF", -- Addr 4818, Offset 210 + x"B7", -- Addr 4819, Offset 211 + x"73", -- Addr 4820, Offset 212 + x"FF", -- Addr 4821, Offset 213 + x"FF", -- Addr 4822, Offset 214 + x"FF", -- Addr 4823, Offset 215 + x"9D", -- Addr 4824, Offset 216 + x"5C", -- Addr 4825, Offset 217 + x"9D", -- Addr 4826, Offset 218 + x"FF", -- Addr 4827, Offset 219 + x"FF", -- Addr 4828, Offset 220 + x"FF", -- Addr 4829, Offset 221 + x"FF", -- Addr 4830, Offset 222 + x"FF", -- Addr 4831, Offset 223 + x"FF", -- Addr 4832, Offset 224 + x"FF", -- Addr 4833, Offset 225 + x"FF", -- Addr 4834, Offset 226 + x"FF", -- Addr 4835, Offset 227 + x"FF", -- Addr 4836, Offset 228 + x"FF", -- Addr 4837, Offset 229 + x"FF", -- Addr 4838, Offset 230 + x"FF", -- Addr 4839, Offset 231 + x"DF", -- Addr 4840, Offset 232 + x"9D", -- Addr 4841, Offset 233 + x"DF", -- Addr 4842, Offset 234 + x"FF", -- Addr 4843, Offset 235 + x"FF", -- Addr 4844, Offset 236 + x"FF", -- Addr 4845, Offset 237 + x"FF", -- Addr 4846, Offset 238 + x"FF", -- Addr 4847, Offset 239 + x"FF", -- Addr 4848, Offset 240 + x"FF", -- Addr 4849, Offset 241 + x"FF", -- Addr 4850, Offset 242 + x"FF", -- Addr 4851, Offset 243 + x"FF", -- Addr 4852, Offset 244 + x"FF", -- Addr 4853, Offset 245 + x"FF", -- Addr 4854, Offset 246 + x"FF", -- Addr 4855, Offset 247 + x"FF", -- Addr 4856, Offset 248 + x"FF", -- Addr 4857, Offset 249 + x"FF", -- Addr 4858, Offset 250 + x"FF", -- Addr 4859, Offset 251 + x"FF", -- Addr 4860, Offset 252 + x"FF", -- Addr 4861, Offset 253 + x"FF", -- Addr 4862, Offset 254 + x"FF", -- Addr 4863, Offset 255 + + -- Sprite: 19, Basis-Adresse: 4864 + x"FF", -- Addr 4864, Offset 0 + x"FF", -- Addr 4865, Offset 1 + x"FF", -- Addr 4866, Offset 2 + x"FF", -- Addr 4867, Offset 3 + x"FF", -- Addr 4868, Offset 4 + x"FF", -- Addr 4869, Offset 5 + x"FF", -- Addr 4870, Offset 6 + x"FF", -- Addr 4871, Offset 7 + x"FF", -- Addr 4872, Offset 8 + x"FF", -- Addr 4873, Offset 9 + x"FF", -- Addr 4874, Offset 10 + x"FF", -- Addr 4875, Offset 11 + x"FF", -- Addr 4876, Offset 12 + x"FF", -- Addr 4877, Offset 13 + x"FF", -- Addr 4878, Offset 14 + x"FF", -- Addr 4879, Offset 15 + x"FF", -- Addr 4880, Offset 16 + x"FF", -- Addr 4881, Offset 17 + x"FF", -- Addr 4882, Offset 18 + x"FF", -- Addr 4883, Offset 19 + x"FF", -- Addr 4884, Offset 20 + x"FF", -- Addr 4885, Offset 21 + x"FF", -- Addr 4886, Offset 22 + x"FF", -- Addr 4887, Offset 23 + x"FF", -- Addr 4888, Offset 24 + x"FF", -- Addr 4889, Offset 25 + x"FF", -- Addr 4890, Offset 26 + x"FF", -- Addr 4891, Offset 27 + x"FF", -- Addr 4892, Offset 28 + x"FF", -- Addr 4893, Offset 29 + x"FF", -- Addr 4894, Offset 30 + x"FF", -- Addr 4895, Offset 31 + x"FF", -- Addr 4896, Offset 32 + x"FF", -- Addr 4897, Offset 33 + x"FF", -- Addr 4898, Offset 34 + x"FF", -- Addr 4899, Offset 35 + x"F2", -- Addr 4900, Offset 36 + x"F2", -- Addr 4901, Offset 37 + x"FF", -- Addr 4902, Offset 38 + x"FF", -- Addr 4903, Offset 39 + x"FF", -- Addr 4904, Offset 40 + x"FF", -- Addr 4905, Offset 41 + x"FF", -- Addr 4906, Offset 42 + x"FF", -- Addr 4907, Offset 43 + x"FF", -- Addr 4908, Offset 44 + x"FF", -- Addr 4909, Offset 45 + x"FF", -- Addr 4910, Offset 46 + x"FF", -- Addr 4911, Offset 47 + x"FF", -- Addr 4912, Offset 48 + x"FF", -- Addr 4913, Offset 49 + x"FF", -- Addr 4914, Offset 50 + x"F6", -- Addr 4915, Offset 51 + x"E0", -- Addr 4916, Offset 52 + x"E4", -- Addr 4917, Offset 53 + x"FB", -- Addr 4918, Offset 54 + x"FF", -- Addr 4919, Offset 55 + x"FF", -- Addr 4920, Offset 56 + x"FF", -- Addr 4921, Offset 57 + x"FF", -- Addr 4922, Offset 58 + x"FF", -- Addr 4923, Offset 59 + x"FF", -- Addr 4924, Offset 60 + x"FF", -- Addr 4925, Offset 61 + x"FF", -- Addr 4926, Offset 62 + x"FF", -- Addr 4927, Offset 63 + x"FF", -- Addr 4928, Offset 64 + x"FF", -- Addr 4929, Offset 65 + x"FB", -- Addr 4930, Offset 66 + x"E0", -- Addr 4931, Offset 67 + x"E0", -- Addr 4932, Offset 68 + x"E5", -- Addr 4933, Offset 69 + x"FB", -- Addr 4934, Offset 70 + x"FF", -- Addr 4935, Offset 71 + x"93", -- Addr 4936, Offset 72 + x"DB", -- Addr 4937, Offset 73 + x"FF", -- Addr 4938, Offset 74 + x"FF", -- Addr 4939, Offset 75 + x"FF", -- Addr 4940, Offset 76 + x"FF", -- Addr 4941, Offset 77 + x"FF", -- Addr 4942, Offset 78 + x"FF", -- Addr 4943, Offset 79 + x"FF", -- Addr 4944, Offset 80 + x"FF", -- Addr 4945, Offset 81 + x"E9", -- Addr 4946, Offset 82 + x"E0", -- Addr 4947, Offset 83 + x"E4", -- Addr 4948, Offset 84 + x"F6", -- Addr 4949, Offset 85 + x"FF", -- Addr 4950, Offset 86 + x"93", -- Addr 4951, Offset 87 + x"07", -- Addr 4952, Offset 88 + x"4F", -- Addr 4953, Offset 89 + x"FF", -- Addr 4954, Offset 90 + x"FF", -- Addr 4955, Offset 91 + x"FF", -- Addr 4956, Offset 92 + x"FF", -- Addr 4957, Offset 93 + x"FF", -- Addr 4958, Offset 94 + x"FF", -- Addr 4959, Offset 95 + x"FF", -- Addr 4960, Offset 96 + x"ED", -- Addr 4961, Offset 97 + x"E0", -- Addr 4962, Offset 98 + x"E0", -- Addr 4963, Offset 99 + x"F2", -- Addr 4964, Offset 100 + x"FF", -- Addr 4965, Offset 101 + x"DB", -- Addr 4966, Offset 102 + x"2B", -- Addr 4967, Offset 103 + x"07", -- Addr 4968, Offset 104 + x"2B", -- Addr 4969, Offset 105 + x"FF", -- Addr 4970, Offset 106 + x"FF", -- Addr 4971, Offset 107 + x"FF", -- Addr 4972, Offset 108 + x"BE", -- Addr 4973, Offset 109 + x"BE", -- Addr 4974, Offset 110 + x"FF", -- Addr 4975, Offset 111 + x"FA", -- Addr 4976, Offset 112 + x"E4", -- Addr 4977, Offset 113 + x"E0", -- Addr 4978, Offset 114 + x"E9", -- Addr 4979, Offset 115 + x"FB", -- Addr 4980, Offset 116 + x"DB", -- Addr 4981, Offset 117 + x"4F", -- Addr 4982, Offset 118 + x"07", -- Addr 4983, Offset 119 + x"07", -- Addr 4984, Offset 120 + x"B7", -- Addr 4985, Offset 121 + x"FF", -- Addr 4986, Offset 122 + x"FF", -- Addr 4987, Offset 123 + x"DE", -- Addr 4988, Offset 124 + x"5C", -- Addr 4989, Offset 125 + x"5C", -- Addr 4990, Offset 126 + x"DE", -- Addr 4991, Offset 127 + x"FF", -- Addr 4992, Offset 128 + x"ED", -- Addr 4993, Offset 129 + x"E9", -- Addr 4994, Offset 130 + x"FB", -- Addr 4995, Offset 131 + x"FF", -- Addr 4996, Offset 132 + x"73", -- Addr 4997, Offset 133 + x"07", -- Addr 4998, Offset 134 + x"2B", -- Addr 4999, Offset 135 + x"97", -- Addr 5000, Offset 136 + x"FF", -- Addr 5001, Offset 137 + x"FF", -- Addr 5002, Offset 138 + x"FF", -- Addr 5003, Offset 139 + x"7D", -- Addr 5004, Offset 140 + x"5C", -- Addr 5005, Offset 141 + x"5C", -- Addr 5006, Offset 142 + x"DE", -- Addr 5007, Offset 143 + x"FF", -- Addr 5008, Offset 144 + x"FF", -- Addr 5009, Offset 145 + x"FF", -- Addr 5010, Offset 146 + x"FF", -- Addr 5011, Offset 147 + x"DB", -- Addr 5012, Offset 148 + x"4F", -- Addr 5013, Offset 149 + x"2B", -- Addr 5014, Offset 150 + x"DB", -- Addr 5015, Offset 151 + x"FF", -- Addr 5016, Offset 152 + x"FF", -- Addr 5017, Offset 153 + x"FF", -- Addr 5018, Offset 154 + x"BE", -- Addr 5019, Offset 155 + x"5C", -- Addr 5020, Offset 156 + x"5C", -- Addr 5021, Offset 157 + x"9D", -- Addr 5022, Offset 158 + x"FF", -- Addr 5023, Offset 159 + x"FF", -- Addr 5024, Offset 160 + x"FF", -- Addr 5025, Offset 161 + x"FF", -- Addr 5026, Offset 162 + x"FF", -- Addr 5027, Offset 163 + x"93", -- Addr 5028, Offset 164 + x"07", -- Addr 5029, Offset 165 + x"4F", -- Addr 5030, Offset 166 + x"FF", -- Addr 5031, Offset 167 + x"FF", -- Addr 5032, Offset 168 + x"FF", -- Addr 5033, Offset 169 + x"BE", -- Addr 5034, Offset 170 + x"7C", -- Addr 5035, Offset 171 + x"5C", -- Addr 5036, Offset 172 + x"9D", -- Addr 5037, Offset 173 + x"FF", -- Addr 5038, Offset 174 + x"FF", -- Addr 5039, Offset 175 + x"FF", -- Addr 5040, Offset 176 + x"FF", -- Addr 5041, Offset 177 + x"FF", -- Addr 5042, Offset 178 + x"B7", -- Addr 5043, Offset 179 + x"07", -- Addr 5044, Offset 180 + x"07", -- Addr 5045, Offset 181 + x"DB", -- Addr 5046, Offset 182 + x"FF", -- Addr 5047, Offset 183 + x"FF", -- Addr 5048, Offset 184 + x"DE", -- Addr 5049, Offset 185 + x"5C", -- Addr 5050, Offset 186 + x"5C", -- Addr 5051, Offset 187 + x"7D", -- Addr 5052, Offset 188 + x"FF", -- Addr 5053, Offset 189 + x"FF", -- Addr 5054, Offset 190 + x"FF", -- Addr 5055, Offset 191 + x"FF", -- Addr 5056, Offset 192 + x"FF", -- Addr 5057, Offset 193 + x"FF", -- Addr 5058, Offset 194 + x"2B", -- Addr 5059, Offset 195 + x"07", -- Addr 5060, Offset 196 + x"93", -- Addr 5061, Offset 197 + x"FF", -- Addr 5062, Offset 198 + x"FF", -- Addr 5063, Offset 199 + x"DF", -- Addr 5064, Offset 200 + x"7C", -- Addr 5065, Offset 201 + x"5C", -- Addr 5066, Offset 202 + x"9D", -- Addr 5067, Offset 203 + x"FF", -- Addr 5068, Offset 204 + x"FF", -- Addr 5069, Offset 205 + x"FF", -- Addr 5070, Offset 206 + x"FF", -- Addr 5071, Offset 207 + x"FF", -- Addr 5072, Offset 208 + x"FF", -- Addr 5073, Offset 209 + x"FF", -- Addr 5074, Offset 210 + x"B7", -- Addr 5075, Offset 211 + x"73", -- Addr 5076, Offset 212 + x"FF", -- Addr 5077, Offset 213 + x"FF", -- Addr 5078, Offset 214 + x"FF", -- Addr 5079, Offset 215 + x"9D", -- Addr 5080, Offset 216 + x"5C", -- Addr 5081, Offset 217 + x"9D", -- Addr 5082, Offset 218 + x"FF", -- Addr 5083, Offset 219 + x"FF", -- Addr 5084, Offset 220 + x"FF", -- Addr 5085, Offset 221 + x"FF", -- Addr 5086, Offset 222 + x"FF", -- Addr 5087, Offset 223 + x"FF", -- Addr 5088, Offset 224 + x"FF", -- Addr 5089, Offset 225 + x"FF", -- Addr 5090, Offset 226 + x"FF", -- Addr 5091, Offset 227 + x"FF", -- Addr 5092, Offset 228 + x"FF", -- Addr 5093, Offset 229 + x"FF", -- Addr 5094, Offset 230 + x"FF", -- Addr 5095, Offset 231 + x"DF", -- Addr 5096, Offset 232 + x"9D", -- Addr 5097, Offset 233 + x"DF", -- Addr 5098, Offset 234 + x"FF", -- Addr 5099, Offset 235 + x"FF", -- Addr 5100, Offset 236 + x"FF", -- Addr 5101, Offset 237 + x"FF", -- Addr 5102, Offset 238 + x"FF", -- Addr 5103, Offset 239 + x"FF", -- Addr 5104, Offset 240 + x"FF", -- Addr 5105, Offset 241 + x"FF", -- Addr 5106, Offset 242 + x"FF", -- Addr 5107, Offset 243 + x"FF", -- Addr 5108, Offset 244 + x"FF", -- Addr 5109, Offset 245 + x"FF", -- Addr 5110, Offset 246 + x"FF", -- Addr 5111, Offset 247 + x"FF", -- Addr 5112, Offset 248 + x"FF", -- Addr 5113, Offset 249 + x"FF", -- Addr 5114, Offset 250 + x"FF", -- Addr 5115, Offset 251 + x"FF", -- Addr 5116, Offset 252 + x"FF", -- Addr 5117, Offset 253 + x"FF", -- Addr 5118, Offset 254 + x"FF", -- Addr 5119, Offset 255 + + -- Sprite: 20, Basis-Adresse: 5120 + x"FF", -- Addr 5120, Offset 0 + x"FF", -- Addr 5121, Offset 1 + x"FF", -- Addr 5122, Offset 2 + x"FF", -- Addr 5123, Offset 3 + x"FF", -- Addr 5124, Offset 4 + x"FF", -- Addr 5125, Offset 5 + x"FF", -- Addr 5126, Offset 6 + x"FF", -- Addr 5127, Offset 7 + x"FF", -- Addr 5128, Offset 8 + x"FF", -- Addr 5129, Offset 9 + x"FF", -- Addr 5130, Offset 10 + x"FF", -- Addr 5131, Offset 11 + x"FF", -- Addr 5132, Offset 12 + x"FF", -- Addr 5133, Offset 13 + x"FF", -- Addr 5134, Offset 14 + x"FF", -- Addr 5135, Offset 15 + x"FF", -- Addr 5136, Offset 16 + x"FF", -- Addr 5137, Offset 17 + x"FF", -- Addr 5138, Offset 18 + x"FF", -- Addr 5139, Offset 19 + x"FF", -- Addr 5140, Offset 20 + x"FF", -- Addr 5141, Offset 21 + x"FF", -- Addr 5142, Offset 22 + x"FF", -- Addr 5143, Offset 23 + x"FF", -- Addr 5144, Offset 24 + x"FF", -- Addr 5145, Offset 25 + x"FF", -- Addr 5146, Offset 26 + x"FF", -- Addr 5147, Offset 27 + x"FF", -- Addr 5148, Offset 28 + x"FF", -- Addr 5149, Offset 29 + x"FF", -- Addr 5150, Offset 30 + x"FF", -- Addr 5151, Offset 31 + x"FF", -- Addr 5152, Offset 32 + x"FF", -- Addr 5153, Offset 33 + x"FF", -- Addr 5154, Offset 34 + x"FF", -- Addr 5155, Offset 35 + x"F2", -- Addr 5156, Offset 36 + x"F2", -- Addr 5157, Offset 37 + x"FF", -- Addr 5158, Offset 38 + x"FF", -- Addr 5159, Offset 39 + x"FF", -- Addr 5160, Offset 40 + x"FF", -- Addr 5161, Offset 41 + x"FF", -- Addr 5162, Offset 42 + x"FF", -- Addr 5163, Offset 43 + x"FF", -- Addr 5164, Offset 44 + x"FF", -- Addr 5165, Offset 45 + x"FF", -- Addr 5166, Offset 46 + x"FF", -- Addr 5167, Offset 47 + x"FF", -- Addr 5168, Offset 48 + x"FF", -- Addr 5169, Offset 49 + x"FF", -- Addr 5170, Offset 50 + x"F6", -- Addr 5171, Offset 51 + x"E0", -- Addr 5172, Offset 52 + x"E4", -- Addr 5173, Offset 53 + x"FB", -- Addr 5174, Offset 54 + x"FF", -- Addr 5175, Offset 55 + x"FF", -- Addr 5176, Offset 56 + x"FF", -- Addr 5177, Offset 57 + x"FF", -- Addr 5178, Offset 58 + x"FF", -- Addr 5179, Offset 59 + x"FF", -- Addr 5180, Offset 60 + x"FF", -- Addr 5181, Offset 61 + x"FF", -- Addr 5182, Offset 62 + x"FF", -- Addr 5183, Offset 63 + x"FF", -- Addr 5184, Offset 64 + x"FF", -- Addr 5185, Offset 65 + x"FB", -- Addr 5186, Offset 66 + x"E0", -- Addr 5187, Offset 67 + x"E0", -- Addr 5188, Offset 68 + x"E5", -- Addr 5189, Offset 69 + x"FB", -- Addr 5190, Offset 70 + x"FF", -- Addr 5191, Offset 71 + x"93", -- Addr 5192, Offset 72 + x"DB", -- Addr 5193, Offset 73 + x"FF", -- Addr 5194, Offset 74 + x"FF", -- Addr 5195, Offset 75 + x"FF", -- Addr 5196, Offset 76 + x"FF", -- Addr 5197, Offset 77 + x"FF", -- Addr 5198, Offset 78 + x"FF", -- Addr 5199, Offset 79 + x"FF", -- Addr 5200, Offset 80 + x"FF", -- Addr 5201, Offset 81 + x"E9", -- Addr 5202, Offset 82 + x"E0", -- Addr 5203, Offset 83 + x"E4", -- Addr 5204, Offset 84 + x"F6", -- Addr 5205, Offset 85 + x"FF", -- Addr 5206, Offset 86 + x"93", -- Addr 5207, Offset 87 + x"07", -- Addr 5208, Offset 88 + x"4F", -- Addr 5209, Offset 89 + x"FF", -- Addr 5210, Offset 90 + x"FF", -- Addr 5211, Offset 91 + x"FF", -- Addr 5212, Offset 92 + x"FF", -- Addr 5213, Offset 93 + x"FF", -- Addr 5214, Offset 94 + x"FF", -- Addr 5215, Offset 95 + x"FF", -- Addr 5216, Offset 96 + x"ED", -- Addr 5217, Offset 97 + x"E0", -- Addr 5218, Offset 98 + x"E0", -- Addr 5219, Offset 99 + x"F2", -- Addr 5220, Offset 100 + x"FF", -- Addr 5221, Offset 101 + x"DB", -- Addr 5222, Offset 102 + x"2B", -- Addr 5223, Offset 103 + x"07", -- Addr 5224, Offset 104 + x"2B", -- Addr 5225, Offset 105 + x"FF", -- Addr 5226, Offset 106 + x"FF", -- Addr 5227, Offset 107 + x"FF", -- Addr 5228, Offset 108 + x"BE", -- Addr 5229, Offset 109 + x"BE", -- Addr 5230, Offset 110 + x"FF", -- Addr 5231, Offset 111 + x"FA", -- Addr 5232, Offset 112 + x"E4", -- Addr 5233, Offset 113 + x"E0", -- Addr 5234, Offset 114 + x"E9", -- Addr 5235, Offset 115 + x"FB", -- Addr 5236, Offset 116 + x"DB", -- Addr 5237, Offset 117 + x"4F", -- Addr 5238, Offset 118 + x"07", -- Addr 5239, Offset 119 + x"07", -- Addr 5240, Offset 120 + x"B7", -- Addr 5241, Offset 121 + x"FF", -- Addr 5242, Offset 122 + x"FF", -- Addr 5243, Offset 123 + x"DE", -- Addr 5244, Offset 124 + x"5C", -- Addr 5245, Offset 125 + x"5C", -- Addr 5246, Offset 126 + x"DE", -- Addr 5247, Offset 127 + x"FF", -- Addr 5248, Offset 128 + x"ED", -- Addr 5249, Offset 129 + x"E9", -- Addr 5250, Offset 130 + x"FB", -- Addr 5251, Offset 131 + x"FF", -- Addr 5252, Offset 132 + x"73", -- Addr 5253, Offset 133 + x"07", -- Addr 5254, Offset 134 + x"2B", -- Addr 5255, Offset 135 + x"97", -- Addr 5256, Offset 136 + x"FF", -- Addr 5257, Offset 137 + x"FF", -- Addr 5258, Offset 138 + x"FF", -- Addr 5259, Offset 139 + x"7D", -- Addr 5260, Offset 140 + x"5C", -- Addr 5261, Offset 141 + x"5C", -- Addr 5262, Offset 142 + x"DE", -- Addr 5263, Offset 143 + x"FF", -- Addr 5264, Offset 144 + x"FF", -- Addr 5265, Offset 145 + x"FF", -- Addr 5266, Offset 146 + x"FF", -- Addr 5267, Offset 147 + x"DB", -- Addr 5268, Offset 148 + x"4F", -- Addr 5269, Offset 149 + x"2B", -- Addr 5270, Offset 150 + x"DB", -- Addr 5271, Offset 151 + x"FF", -- Addr 5272, Offset 152 + x"FF", -- Addr 5273, Offset 153 + x"FF", -- Addr 5274, Offset 154 + x"BE", -- Addr 5275, Offset 155 + x"5C", -- Addr 5276, Offset 156 + x"5C", -- Addr 5277, Offset 157 + x"9D", -- Addr 5278, Offset 158 + x"FF", -- Addr 5279, Offset 159 + x"FF", -- Addr 5280, Offset 160 + x"FF", -- Addr 5281, Offset 161 + x"FF", -- Addr 5282, Offset 162 + x"FF", -- Addr 5283, Offset 163 + x"93", -- Addr 5284, Offset 164 + x"07", -- Addr 5285, Offset 165 + x"4F", -- Addr 5286, Offset 166 + x"FF", -- Addr 5287, Offset 167 + x"FF", -- Addr 5288, Offset 168 + x"FF", -- Addr 5289, Offset 169 + x"BE", -- Addr 5290, Offset 170 + x"7C", -- Addr 5291, Offset 171 + x"5C", -- Addr 5292, Offset 172 + x"9D", -- Addr 5293, Offset 173 + x"FF", -- Addr 5294, Offset 174 + x"FF", -- Addr 5295, Offset 175 + x"FF", -- Addr 5296, Offset 176 + x"FF", -- Addr 5297, Offset 177 + x"FF", -- Addr 5298, Offset 178 + x"B7", -- Addr 5299, Offset 179 + x"07", -- Addr 5300, Offset 180 + x"07", -- Addr 5301, Offset 181 + x"DB", -- Addr 5302, Offset 182 + x"FF", -- Addr 5303, Offset 183 + x"FF", -- Addr 5304, Offset 184 + x"DE", -- Addr 5305, Offset 185 + x"5C", -- Addr 5306, Offset 186 + x"5C", -- Addr 5307, Offset 187 + x"7D", -- Addr 5308, Offset 188 + x"FF", -- Addr 5309, Offset 189 + x"FF", -- Addr 5310, Offset 190 + x"FF", -- Addr 5311, Offset 191 + x"FF", -- Addr 5312, Offset 192 + x"FF", -- Addr 5313, Offset 193 + x"FF", -- Addr 5314, Offset 194 + x"2B", -- Addr 5315, Offset 195 + x"07", -- Addr 5316, Offset 196 + x"93", -- Addr 5317, Offset 197 + x"FF", -- Addr 5318, Offset 198 + x"FF", -- Addr 5319, Offset 199 + x"DF", -- Addr 5320, Offset 200 + x"7C", -- Addr 5321, Offset 201 + x"5C", -- Addr 5322, Offset 202 + x"9D", -- Addr 5323, Offset 203 + x"FF", -- Addr 5324, Offset 204 + x"FF", -- Addr 5325, Offset 205 + x"FF", -- Addr 5326, Offset 206 + x"FF", -- Addr 5327, Offset 207 + x"FF", -- Addr 5328, Offset 208 + x"FF", -- Addr 5329, Offset 209 + x"FF", -- Addr 5330, Offset 210 + x"B7", -- Addr 5331, Offset 211 + x"73", -- Addr 5332, Offset 212 + x"FF", -- Addr 5333, Offset 213 + x"FF", -- Addr 5334, Offset 214 + x"FF", -- Addr 5335, Offset 215 + x"9D", -- Addr 5336, Offset 216 + x"5C", -- Addr 5337, Offset 217 + x"9D", -- Addr 5338, Offset 218 + x"FF", -- Addr 5339, Offset 219 + x"FF", -- Addr 5340, Offset 220 + x"FF", -- Addr 5341, Offset 221 + x"FF", -- Addr 5342, Offset 222 + x"FF", -- Addr 5343, Offset 223 + x"FF", -- Addr 5344, Offset 224 + x"FF", -- Addr 5345, Offset 225 + x"FF", -- Addr 5346, Offset 226 + x"FF", -- Addr 5347, Offset 227 + x"FF", -- Addr 5348, Offset 228 + x"FF", -- Addr 5349, Offset 229 + x"FF", -- Addr 5350, Offset 230 + x"FF", -- Addr 5351, Offset 231 + x"DF", -- Addr 5352, Offset 232 + x"9D", -- Addr 5353, Offset 233 + x"DF", -- Addr 5354, Offset 234 + x"FF", -- Addr 5355, Offset 235 + x"FF", -- Addr 5356, Offset 236 + x"FF", -- Addr 5357, Offset 237 + x"FF", -- Addr 5358, Offset 238 + x"FF", -- Addr 5359, Offset 239 + x"FF", -- Addr 5360, Offset 240 + x"FF", -- Addr 5361, Offset 241 + x"FF", -- Addr 5362, Offset 242 + x"FF", -- Addr 5363, Offset 243 + x"FF", -- Addr 5364, Offset 244 + x"FF", -- Addr 5365, Offset 245 + x"FF", -- Addr 5366, Offset 246 + x"FF", -- Addr 5367, Offset 247 + x"FF", -- Addr 5368, Offset 248 + x"FF", -- Addr 5369, Offset 249 + x"FF", -- Addr 5370, Offset 250 + x"FF", -- Addr 5371, Offset 251 + x"FF", -- Addr 5372, Offset 252 + x"FF", -- Addr 5373, Offset 253 + x"FF", -- Addr 5374, Offset 254 + x"FF", -- Addr 5375, Offset 255 + + -- Sprite: 21, Basis-Adresse: 5376 + x"FF", -- Addr 5376, Offset 0 + x"FF", -- Addr 5377, Offset 1 + x"FF", -- Addr 5378, Offset 2 + x"FF", -- Addr 5379, Offset 3 + x"FF", -- Addr 5380, Offset 4 + x"FF", -- Addr 5381, Offset 5 + x"FF", -- Addr 5382, Offset 6 + x"FF", -- Addr 5383, Offset 7 + x"FF", -- Addr 5384, Offset 8 + x"FF", -- Addr 5385, Offset 9 + x"FF", -- Addr 5386, Offset 10 + x"FF", -- Addr 5387, Offset 11 + x"FF", -- Addr 5388, Offset 12 + x"FF", -- Addr 5389, Offset 13 + x"FF", -- Addr 5390, Offset 14 + x"FF", -- Addr 5391, Offset 15 + x"FF", -- Addr 5392, Offset 16 + x"FF", -- Addr 5393, Offset 17 + x"FF", -- Addr 5394, Offset 18 + x"FF", -- Addr 5395, Offset 19 + x"FF", -- Addr 5396, Offset 20 + x"FF", -- Addr 5397, Offset 21 + x"FF", -- Addr 5398, Offset 22 + x"FF", -- Addr 5399, Offset 23 + x"FF", -- Addr 5400, Offset 24 + x"FF", -- Addr 5401, Offset 25 + x"FF", -- Addr 5402, Offset 26 + x"FF", -- Addr 5403, Offset 27 + x"FF", -- Addr 5404, Offset 28 + x"FF", -- Addr 5405, Offset 29 + x"FF", -- Addr 5406, Offset 30 + x"FF", -- Addr 5407, Offset 31 + x"FF", -- Addr 5408, Offset 32 + x"FF", -- Addr 5409, Offset 33 + x"FF", -- Addr 5410, Offset 34 + x"FF", -- Addr 5411, Offset 35 + x"F2", -- Addr 5412, Offset 36 + x"F2", -- Addr 5413, Offset 37 + x"FF", -- Addr 5414, Offset 38 + x"FF", -- Addr 5415, Offset 39 + x"FF", -- Addr 5416, Offset 40 + x"FF", -- Addr 5417, Offset 41 + x"FF", -- Addr 5418, Offset 42 + x"FF", -- Addr 5419, Offset 43 + x"FF", -- Addr 5420, Offset 44 + x"FF", -- Addr 5421, Offset 45 + x"FF", -- Addr 5422, Offset 46 + x"FF", -- Addr 5423, Offset 47 + x"FF", -- Addr 5424, Offset 48 + x"FF", -- Addr 5425, Offset 49 + x"FF", -- Addr 5426, Offset 50 + x"F6", -- Addr 5427, Offset 51 + x"E0", -- Addr 5428, Offset 52 + x"E4", -- Addr 5429, Offset 53 + x"FB", -- Addr 5430, Offset 54 + x"FF", -- Addr 5431, Offset 55 + x"FF", -- Addr 5432, Offset 56 + x"FF", -- Addr 5433, Offset 57 + x"FF", -- Addr 5434, Offset 58 + x"FF", -- Addr 5435, Offset 59 + x"FF", -- Addr 5436, Offset 60 + x"FF", -- Addr 5437, Offset 61 + x"FF", -- Addr 5438, Offset 62 + x"FF", -- Addr 5439, Offset 63 + x"FF", -- Addr 5440, Offset 64 + x"FF", -- Addr 5441, Offset 65 + x"FB", -- Addr 5442, Offset 66 + x"E0", -- Addr 5443, Offset 67 + x"E0", -- Addr 5444, Offset 68 + x"E5", -- Addr 5445, Offset 69 + x"FB", -- Addr 5446, Offset 70 + x"FF", -- Addr 5447, Offset 71 + x"93", -- Addr 5448, Offset 72 + x"DB", -- Addr 5449, Offset 73 + x"FF", -- Addr 5450, Offset 74 + x"FF", -- Addr 5451, Offset 75 + x"FF", -- Addr 5452, Offset 76 + x"FF", -- Addr 5453, Offset 77 + x"FF", -- Addr 5454, Offset 78 + x"FF", -- Addr 5455, Offset 79 + x"FF", -- Addr 5456, Offset 80 + x"FF", -- Addr 5457, Offset 81 + x"E9", -- Addr 5458, Offset 82 + x"E0", -- Addr 5459, Offset 83 + x"E4", -- Addr 5460, Offset 84 + x"F6", -- Addr 5461, Offset 85 + x"FF", -- Addr 5462, Offset 86 + x"93", -- Addr 5463, Offset 87 + x"07", -- Addr 5464, Offset 88 + x"4F", -- Addr 5465, Offset 89 + x"FF", -- Addr 5466, Offset 90 + x"FF", -- Addr 5467, Offset 91 + x"FF", -- Addr 5468, Offset 92 + x"FF", -- Addr 5469, Offset 93 + x"FF", -- Addr 5470, Offset 94 + x"FF", -- Addr 5471, Offset 95 + x"FF", -- Addr 5472, Offset 96 + x"ED", -- Addr 5473, Offset 97 + x"E0", -- Addr 5474, Offset 98 + x"E0", -- Addr 5475, Offset 99 + x"F2", -- Addr 5476, Offset 100 + x"FF", -- Addr 5477, Offset 101 + x"DB", -- Addr 5478, Offset 102 + x"2B", -- Addr 5479, Offset 103 + x"07", -- Addr 5480, Offset 104 + x"2B", -- Addr 5481, Offset 105 + x"FF", -- Addr 5482, Offset 106 + x"FF", -- Addr 5483, Offset 107 + x"FF", -- Addr 5484, Offset 108 + x"BE", -- Addr 5485, Offset 109 + x"BE", -- Addr 5486, Offset 110 + x"FF", -- Addr 5487, Offset 111 + x"FA", -- Addr 5488, Offset 112 + x"E4", -- Addr 5489, Offset 113 + x"E0", -- Addr 5490, Offset 114 + x"E9", -- Addr 5491, Offset 115 + x"FB", -- Addr 5492, Offset 116 + x"DB", -- Addr 5493, Offset 117 + x"4F", -- Addr 5494, Offset 118 + x"07", -- Addr 5495, Offset 119 + x"07", -- Addr 5496, Offset 120 + x"B7", -- Addr 5497, Offset 121 + x"FF", -- Addr 5498, Offset 122 + x"FF", -- Addr 5499, Offset 123 + x"DE", -- Addr 5500, Offset 124 + x"5C", -- Addr 5501, Offset 125 + x"5C", -- Addr 5502, Offset 126 + x"DE", -- Addr 5503, Offset 127 + x"FF", -- Addr 5504, Offset 128 + x"ED", -- Addr 5505, Offset 129 + x"E9", -- Addr 5506, Offset 130 + x"FB", -- Addr 5507, Offset 131 + x"FF", -- Addr 5508, Offset 132 + x"73", -- Addr 5509, Offset 133 + x"07", -- Addr 5510, Offset 134 + x"2B", -- Addr 5511, Offset 135 + x"97", -- Addr 5512, Offset 136 + x"FF", -- Addr 5513, Offset 137 + x"FF", -- Addr 5514, Offset 138 + x"FF", -- Addr 5515, Offset 139 + x"7D", -- Addr 5516, Offset 140 + x"5C", -- Addr 5517, Offset 141 + x"5C", -- Addr 5518, Offset 142 + x"DE", -- Addr 5519, Offset 143 + x"FF", -- Addr 5520, Offset 144 + x"FF", -- Addr 5521, Offset 145 + x"FF", -- Addr 5522, Offset 146 + x"FF", -- Addr 5523, Offset 147 + x"DB", -- Addr 5524, Offset 148 + x"4F", -- Addr 5525, Offset 149 + x"2B", -- Addr 5526, Offset 150 + x"DB", -- Addr 5527, Offset 151 + x"FF", -- Addr 5528, Offset 152 + x"FF", -- Addr 5529, Offset 153 + x"FF", -- Addr 5530, Offset 154 + x"BE", -- Addr 5531, Offset 155 + x"5C", -- Addr 5532, Offset 156 + x"5C", -- Addr 5533, Offset 157 + x"9D", -- Addr 5534, Offset 158 + x"FF", -- Addr 5535, Offset 159 + x"FF", -- Addr 5536, Offset 160 + x"FF", -- Addr 5537, Offset 161 + x"FF", -- Addr 5538, Offset 162 + x"FF", -- Addr 5539, Offset 163 + x"93", -- Addr 5540, Offset 164 + x"07", -- Addr 5541, Offset 165 + x"4F", -- Addr 5542, Offset 166 + x"FF", -- Addr 5543, Offset 167 + x"FF", -- Addr 5544, Offset 168 + x"FF", -- Addr 5545, Offset 169 + x"BE", -- Addr 5546, Offset 170 + x"7C", -- Addr 5547, Offset 171 + x"5C", -- Addr 5548, Offset 172 + x"9D", -- Addr 5549, Offset 173 + x"FF", -- Addr 5550, Offset 174 + x"FF", -- Addr 5551, Offset 175 + x"FF", -- Addr 5552, Offset 176 + x"FF", -- Addr 5553, Offset 177 + x"FF", -- Addr 5554, Offset 178 + x"B7", -- Addr 5555, Offset 179 + x"07", -- Addr 5556, Offset 180 + x"07", -- Addr 5557, Offset 181 + x"DB", -- Addr 5558, Offset 182 + x"FF", -- Addr 5559, Offset 183 + x"FF", -- Addr 5560, Offset 184 + x"DE", -- Addr 5561, Offset 185 + x"5C", -- Addr 5562, Offset 186 + x"5C", -- Addr 5563, Offset 187 + x"7D", -- Addr 5564, Offset 188 + x"FF", -- Addr 5565, Offset 189 + x"FF", -- Addr 5566, Offset 190 + x"FF", -- Addr 5567, Offset 191 + x"FF", -- Addr 5568, Offset 192 + x"FF", -- Addr 5569, Offset 193 + x"FF", -- Addr 5570, Offset 194 + x"2B", -- Addr 5571, Offset 195 + x"07", -- Addr 5572, Offset 196 + x"93", -- Addr 5573, Offset 197 + x"FF", -- Addr 5574, Offset 198 + x"FF", -- Addr 5575, Offset 199 + x"DF", -- Addr 5576, Offset 200 + x"7C", -- Addr 5577, Offset 201 + x"5C", -- Addr 5578, Offset 202 + x"9D", -- Addr 5579, Offset 203 + x"FF", -- Addr 5580, Offset 204 + x"FF", -- Addr 5581, Offset 205 + x"FF", -- Addr 5582, Offset 206 + x"FF", -- Addr 5583, Offset 207 + x"FF", -- Addr 5584, Offset 208 + x"FF", -- Addr 5585, Offset 209 + x"FF", -- Addr 5586, Offset 210 + x"B7", -- Addr 5587, Offset 211 + x"73", -- Addr 5588, Offset 212 + x"FF", -- Addr 5589, Offset 213 + x"FF", -- Addr 5590, Offset 214 + x"FF", -- Addr 5591, Offset 215 + x"9D", -- Addr 5592, Offset 216 + x"5C", -- Addr 5593, Offset 217 + x"9D", -- Addr 5594, Offset 218 + x"FF", -- Addr 5595, Offset 219 + x"FF", -- Addr 5596, Offset 220 + x"FF", -- Addr 5597, Offset 221 + x"FF", -- Addr 5598, Offset 222 + x"FF", -- Addr 5599, Offset 223 + x"FF", -- Addr 5600, Offset 224 + x"FF", -- Addr 5601, Offset 225 + x"FF", -- Addr 5602, Offset 226 + x"FF", -- Addr 5603, Offset 227 + x"FF", -- Addr 5604, Offset 228 + x"FF", -- Addr 5605, Offset 229 + x"FF", -- Addr 5606, Offset 230 + x"FF", -- Addr 5607, Offset 231 + x"DF", -- Addr 5608, Offset 232 + x"9D", -- Addr 5609, Offset 233 + x"DF", -- Addr 5610, Offset 234 + x"FF", -- Addr 5611, Offset 235 + x"FF", -- Addr 5612, Offset 236 + x"FF", -- Addr 5613, Offset 237 + x"FF", -- Addr 5614, Offset 238 + x"FF", -- Addr 5615, Offset 239 + x"FF", -- Addr 5616, Offset 240 + x"FF", -- Addr 5617, Offset 241 + x"FF", -- Addr 5618, Offset 242 + x"FF", -- Addr 5619, Offset 243 + x"FF", -- Addr 5620, Offset 244 + x"FF", -- Addr 5621, Offset 245 + x"FF", -- Addr 5622, Offset 246 + x"FF", -- Addr 5623, Offset 247 + x"FF", -- Addr 5624, Offset 248 + x"FF", -- Addr 5625, Offset 249 + x"FF", -- Addr 5626, Offset 250 + x"FF", -- Addr 5627, Offset 251 + x"FF", -- Addr 5628, Offset 252 + x"FF", -- Addr 5629, Offset 253 + x"FF", -- Addr 5630, Offset 254 + x"FF", -- Addr 5631, Offset 255 + + -- Sprite: 22, Basis-Adresse: 5632 + x"FF", -- Addr 5632, Offset 0 + x"FF", -- Addr 5633, Offset 1 + x"FF", -- Addr 5634, Offset 2 + x"FF", -- Addr 5635, Offset 3 + x"FF", -- Addr 5636, Offset 4 + x"FF", -- Addr 5637, Offset 5 + x"FF", -- Addr 5638, Offset 6 + x"FF", -- Addr 5639, Offset 7 + x"FF", -- Addr 5640, Offset 8 + x"FF", -- Addr 5641, Offset 9 + x"FF", -- Addr 5642, Offset 10 + x"FF", -- Addr 5643, Offset 11 + x"FF", -- Addr 5644, Offset 12 + x"FF", -- Addr 5645, Offset 13 + x"FF", -- Addr 5646, Offset 14 + x"FF", -- Addr 5647, Offset 15 + x"FF", -- Addr 5648, Offset 16 + x"FF", -- Addr 5649, Offset 17 + x"FF", -- Addr 5650, Offset 18 + x"FF", -- Addr 5651, Offset 19 + x"FF", -- Addr 5652, Offset 20 + x"FF", -- Addr 5653, Offset 21 + x"FF", -- Addr 5654, Offset 22 + x"FF", -- Addr 5655, Offset 23 + x"FF", -- Addr 5656, Offset 24 + x"FF", -- Addr 5657, Offset 25 + x"FF", -- Addr 5658, Offset 26 + x"FF", -- Addr 5659, Offset 27 + x"FF", -- Addr 5660, Offset 28 + x"FF", -- Addr 5661, Offset 29 + x"FF", -- Addr 5662, Offset 30 + x"FF", -- Addr 5663, Offset 31 + x"FF", -- Addr 5664, Offset 32 + x"FF", -- Addr 5665, Offset 33 + x"FF", -- Addr 5666, Offset 34 + x"FF", -- Addr 5667, Offset 35 + x"F2", -- Addr 5668, Offset 36 + x"F2", -- Addr 5669, Offset 37 + x"FF", -- Addr 5670, Offset 38 + x"FF", -- Addr 5671, Offset 39 + x"FF", -- Addr 5672, Offset 40 + x"FF", -- Addr 5673, Offset 41 + x"FF", -- Addr 5674, Offset 42 + x"FF", -- Addr 5675, Offset 43 + x"FF", -- Addr 5676, Offset 44 + x"FF", -- Addr 5677, Offset 45 + x"FF", -- Addr 5678, Offset 46 + x"FF", -- Addr 5679, Offset 47 + x"FF", -- Addr 5680, Offset 48 + x"FF", -- Addr 5681, Offset 49 + x"FF", -- Addr 5682, Offset 50 + x"F6", -- Addr 5683, Offset 51 + x"E0", -- Addr 5684, Offset 52 + x"E4", -- Addr 5685, Offset 53 + x"FB", -- Addr 5686, Offset 54 + x"FF", -- Addr 5687, Offset 55 + x"FF", -- Addr 5688, Offset 56 + x"FF", -- Addr 5689, Offset 57 + x"FF", -- Addr 5690, Offset 58 + x"FF", -- Addr 5691, Offset 59 + x"FF", -- Addr 5692, Offset 60 + x"FF", -- Addr 5693, Offset 61 + x"FF", -- Addr 5694, Offset 62 + x"FF", -- Addr 5695, Offset 63 + x"FF", -- Addr 5696, Offset 64 + x"FF", -- Addr 5697, Offset 65 + x"FB", -- Addr 5698, Offset 66 + x"E0", -- Addr 5699, Offset 67 + x"E0", -- Addr 5700, Offset 68 + x"E5", -- Addr 5701, Offset 69 + x"FB", -- Addr 5702, Offset 70 + x"FF", -- Addr 5703, Offset 71 + x"93", -- Addr 5704, Offset 72 + x"DB", -- Addr 5705, Offset 73 + x"FF", -- Addr 5706, Offset 74 + x"FF", -- Addr 5707, Offset 75 + x"FF", -- Addr 5708, Offset 76 + x"FF", -- Addr 5709, Offset 77 + x"FF", -- Addr 5710, Offset 78 + x"FF", -- Addr 5711, Offset 79 + x"FF", -- Addr 5712, Offset 80 + x"FF", -- Addr 5713, Offset 81 + x"E9", -- Addr 5714, Offset 82 + x"E0", -- Addr 5715, Offset 83 + x"E4", -- Addr 5716, Offset 84 + x"F6", -- Addr 5717, Offset 85 + x"FF", -- Addr 5718, Offset 86 + x"93", -- Addr 5719, Offset 87 + x"07", -- Addr 5720, Offset 88 + x"4F", -- Addr 5721, Offset 89 + x"FF", -- Addr 5722, Offset 90 + x"FF", -- Addr 5723, Offset 91 + x"FF", -- Addr 5724, Offset 92 + x"FF", -- Addr 5725, Offset 93 + x"FF", -- Addr 5726, Offset 94 + x"FF", -- Addr 5727, Offset 95 + x"FF", -- Addr 5728, Offset 96 + x"ED", -- Addr 5729, Offset 97 + x"E0", -- Addr 5730, Offset 98 + x"E0", -- Addr 5731, Offset 99 + x"F2", -- Addr 5732, Offset 100 + x"FF", -- Addr 5733, Offset 101 + x"DB", -- Addr 5734, Offset 102 + x"2B", -- Addr 5735, Offset 103 + x"07", -- Addr 5736, Offset 104 + x"2B", -- Addr 5737, Offset 105 + x"FF", -- Addr 5738, Offset 106 + x"FF", -- Addr 5739, Offset 107 + x"FF", -- Addr 5740, Offset 108 + x"BE", -- Addr 5741, Offset 109 + x"BE", -- Addr 5742, Offset 110 + x"FF", -- Addr 5743, Offset 111 + x"FA", -- Addr 5744, Offset 112 + x"E4", -- Addr 5745, Offset 113 + x"E0", -- Addr 5746, Offset 114 + x"E9", -- Addr 5747, Offset 115 + x"FB", -- Addr 5748, Offset 116 + x"DB", -- Addr 5749, Offset 117 + x"4F", -- Addr 5750, Offset 118 + x"07", -- Addr 5751, Offset 119 + x"07", -- Addr 5752, Offset 120 + x"B7", -- Addr 5753, Offset 121 + x"FF", -- Addr 5754, Offset 122 + x"FF", -- Addr 5755, Offset 123 + x"DE", -- Addr 5756, Offset 124 + x"5C", -- Addr 5757, Offset 125 + x"5C", -- Addr 5758, Offset 126 + x"DE", -- Addr 5759, Offset 127 + x"FF", -- Addr 5760, Offset 128 + x"ED", -- Addr 5761, Offset 129 + x"E9", -- Addr 5762, Offset 130 + x"FB", -- Addr 5763, Offset 131 + x"FF", -- Addr 5764, Offset 132 + x"73", -- Addr 5765, Offset 133 + x"07", -- Addr 5766, Offset 134 + x"2B", -- Addr 5767, Offset 135 + x"97", -- Addr 5768, Offset 136 + x"FF", -- Addr 5769, Offset 137 + x"FF", -- Addr 5770, Offset 138 + x"FF", -- Addr 5771, Offset 139 + x"7D", -- Addr 5772, Offset 140 + x"5C", -- Addr 5773, Offset 141 + x"5C", -- Addr 5774, Offset 142 + x"DE", -- Addr 5775, Offset 143 + x"FF", -- Addr 5776, Offset 144 + x"FF", -- Addr 5777, Offset 145 + x"FF", -- Addr 5778, Offset 146 + x"FF", -- Addr 5779, Offset 147 + x"DB", -- Addr 5780, Offset 148 + x"4F", -- Addr 5781, Offset 149 + x"2B", -- Addr 5782, Offset 150 + x"DB", -- Addr 5783, Offset 151 + x"FF", -- Addr 5784, Offset 152 + x"FF", -- Addr 5785, Offset 153 + x"FF", -- Addr 5786, Offset 154 + x"BE", -- Addr 5787, Offset 155 + x"5C", -- Addr 5788, Offset 156 + x"5C", -- Addr 5789, Offset 157 + x"9D", -- Addr 5790, Offset 158 + x"FF", -- Addr 5791, Offset 159 + x"FF", -- Addr 5792, Offset 160 + x"FF", -- Addr 5793, Offset 161 + x"FF", -- Addr 5794, Offset 162 + x"FF", -- Addr 5795, Offset 163 + x"93", -- Addr 5796, Offset 164 + x"07", -- Addr 5797, Offset 165 + x"4F", -- Addr 5798, Offset 166 + x"FF", -- Addr 5799, Offset 167 + x"FF", -- Addr 5800, Offset 168 + x"FF", -- Addr 5801, Offset 169 + x"BE", -- Addr 5802, Offset 170 + x"7C", -- Addr 5803, Offset 171 + x"5C", -- Addr 5804, Offset 172 + x"9D", -- Addr 5805, Offset 173 + x"FF", -- Addr 5806, Offset 174 + x"FF", -- Addr 5807, Offset 175 + x"FF", -- Addr 5808, Offset 176 + x"FF", -- Addr 5809, Offset 177 + x"FF", -- Addr 5810, Offset 178 + x"B7", -- Addr 5811, Offset 179 + x"07", -- Addr 5812, Offset 180 + x"07", -- Addr 5813, Offset 181 + x"DB", -- Addr 5814, Offset 182 + x"FF", -- Addr 5815, Offset 183 + x"FF", -- Addr 5816, Offset 184 + x"DE", -- Addr 5817, Offset 185 + x"5C", -- Addr 5818, Offset 186 + x"5C", -- Addr 5819, Offset 187 + x"7D", -- Addr 5820, Offset 188 + x"FF", -- Addr 5821, Offset 189 + x"FF", -- Addr 5822, Offset 190 + x"FF", -- Addr 5823, Offset 191 + x"FF", -- Addr 5824, Offset 192 + x"FF", -- Addr 5825, Offset 193 + x"FF", -- Addr 5826, Offset 194 + x"2B", -- Addr 5827, Offset 195 + x"07", -- Addr 5828, Offset 196 + x"93", -- Addr 5829, Offset 197 + x"FF", -- Addr 5830, Offset 198 + x"FF", -- Addr 5831, Offset 199 + x"DF", -- Addr 5832, Offset 200 + x"7C", -- Addr 5833, Offset 201 + x"5C", -- Addr 5834, Offset 202 + x"9D", -- Addr 5835, Offset 203 + x"FF", -- Addr 5836, Offset 204 + x"FF", -- Addr 5837, Offset 205 + x"FF", -- Addr 5838, Offset 206 + x"FF", -- Addr 5839, Offset 207 + x"FF", -- Addr 5840, Offset 208 + x"FF", -- Addr 5841, Offset 209 + x"FF", -- Addr 5842, Offset 210 + x"B7", -- Addr 5843, Offset 211 + x"73", -- Addr 5844, Offset 212 + x"FF", -- Addr 5845, Offset 213 + x"FF", -- Addr 5846, Offset 214 + x"FF", -- Addr 5847, Offset 215 + x"9D", -- Addr 5848, Offset 216 + x"5C", -- Addr 5849, Offset 217 + x"9D", -- Addr 5850, Offset 218 + x"FF", -- Addr 5851, Offset 219 + x"FF", -- Addr 5852, Offset 220 + x"FF", -- Addr 5853, Offset 221 + x"FF", -- Addr 5854, Offset 222 + x"FF", -- Addr 5855, Offset 223 + x"FF", -- Addr 5856, Offset 224 + x"FF", -- Addr 5857, Offset 225 + x"FF", -- Addr 5858, Offset 226 + x"FF", -- Addr 5859, Offset 227 + x"FF", -- Addr 5860, Offset 228 + x"FF", -- Addr 5861, Offset 229 + x"FF", -- Addr 5862, Offset 230 + x"FF", -- Addr 5863, Offset 231 + x"DF", -- Addr 5864, Offset 232 + x"9D", -- Addr 5865, Offset 233 + x"DF", -- Addr 5866, Offset 234 + x"FF", -- Addr 5867, Offset 235 + x"FF", -- Addr 5868, Offset 236 + x"FF", -- Addr 5869, Offset 237 + x"FF", -- Addr 5870, Offset 238 + x"FF", -- Addr 5871, Offset 239 + x"FF", -- Addr 5872, Offset 240 + x"FF", -- Addr 5873, Offset 241 + x"FF", -- Addr 5874, Offset 242 + x"FF", -- Addr 5875, Offset 243 + x"FF", -- Addr 5876, Offset 244 + x"FF", -- Addr 5877, Offset 245 + x"FF", -- Addr 5878, Offset 246 + x"FF", -- Addr 5879, Offset 247 + x"FF", -- Addr 5880, Offset 248 + x"FF", -- Addr 5881, Offset 249 + x"FF", -- Addr 5882, Offset 250 + x"FF", -- Addr 5883, Offset 251 + x"FF", -- Addr 5884, Offset 252 + x"FF", -- Addr 5885, Offset 253 + x"FF", -- Addr 5886, Offset 254 + x"FF", -- Addr 5887, Offset 255 + + -- Sprite: 23, Basis-Adresse: 5888 + x"FF", -- Addr 5888, Offset 0 + x"FF", -- Addr 5889, Offset 1 + x"FF", -- Addr 5890, Offset 2 + x"FF", -- Addr 5891, Offset 3 + x"FF", -- Addr 5892, Offset 4 + x"FF", -- Addr 5893, Offset 5 + x"FF", -- Addr 5894, Offset 6 + x"FF", -- Addr 5895, Offset 7 + x"FF", -- Addr 5896, Offset 8 + x"FF", -- Addr 5897, Offset 9 + x"FF", -- Addr 5898, Offset 10 + x"FF", -- Addr 5899, Offset 11 + x"FF", -- Addr 5900, Offset 12 + x"FF", -- Addr 5901, Offset 13 + x"FF", -- Addr 5902, Offset 14 + x"FF", -- Addr 5903, Offset 15 + x"FF", -- Addr 5904, Offset 16 + x"FF", -- Addr 5905, Offset 17 + x"FF", -- Addr 5906, Offset 18 + x"FF", -- Addr 5907, Offset 19 + x"FF", -- Addr 5908, Offset 20 + x"FF", -- Addr 5909, Offset 21 + x"FF", -- Addr 5910, Offset 22 + x"FF", -- Addr 5911, Offset 23 + x"FF", -- Addr 5912, Offset 24 + x"FF", -- Addr 5913, Offset 25 + x"FF", -- Addr 5914, Offset 26 + x"FF", -- Addr 5915, Offset 27 + x"FF", -- Addr 5916, Offset 28 + x"FF", -- Addr 5917, Offset 29 + x"FF", -- Addr 5918, Offset 30 + x"FF", -- Addr 5919, Offset 31 + x"FF", -- Addr 5920, Offset 32 + x"FF", -- Addr 5921, Offset 33 + x"FF", -- Addr 5922, Offset 34 + x"FF", -- Addr 5923, Offset 35 + x"F2", -- Addr 5924, Offset 36 + x"F2", -- Addr 5925, Offset 37 + x"FF", -- Addr 5926, Offset 38 + x"FF", -- Addr 5927, Offset 39 + x"FF", -- Addr 5928, Offset 40 + x"FF", -- Addr 5929, Offset 41 + x"FF", -- Addr 5930, Offset 42 + x"FF", -- Addr 5931, Offset 43 + x"FF", -- Addr 5932, Offset 44 + x"FF", -- Addr 5933, Offset 45 + x"FF", -- Addr 5934, Offset 46 + x"FF", -- Addr 5935, Offset 47 + x"FF", -- Addr 5936, Offset 48 + x"FF", -- Addr 5937, Offset 49 + x"FF", -- Addr 5938, Offset 50 + x"F6", -- Addr 5939, Offset 51 + x"E0", -- Addr 5940, Offset 52 + x"E4", -- Addr 5941, Offset 53 + x"FB", -- Addr 5942, Offset 54 + x"FF", -- Addr 5943, Offset 55 + x"FF", -- Addr 5944, Offset 56 + x"FF", -- Addr 5945, Offset 57 + x"FF", -- Addr 5946, Offset 58 + x"FF", -- Addr 5947, Offset 59 + x"FF", -- Addr 5948, Offset 60 + x"FF", -- Addr 5949, Offset 61 + x"FF", -- Addr 5950, Offset 62 + x"FF", -- Addr 5951, Offset 63 + x"FF", -- Addr 5952, Offset 64 + x"FF", -- Addr 5953, Offset 65 + x"FB", -- Addr 5954, Offset 66 + x"E0", -- Addr 5955, Offset 67 + x"E0", -- Addr 5956, Offset 68 + x"E5", -- Addr 5957, Offset 69 + x"FB", -- Addr 5958, Offset 70 + x"FF", -- Addr 5959, Offset 71 + x"93", -- Addr 5960, Offset 72 + x"DB", -- Addr 5961, Offset 73 + x"FF", -- Addr 5962, Offset 74 + x"FF", -- Addr 5963, Offset 75 + x"FF", -- Addr 5964, Offset 76 + x"FF", -- Addr 5965, Offset 77 + x"FF", -- Addr 5966, Offset 78 + x"FF", -- Addr 5967, Offset 79 + x"FF", -- Addr 5968, Offset 80 + x"FF", -- Addr 5969, Offset 81 + x"E9", -- Addr 5970, Offset 82 + x"E0", -- Addr 5971, Offset 83 + x"E4", -- Addr 5972, Offset 84 + x"F6", -- Addr 5973, Offset 85 + x"FF", -- Addr 5974, Offset 86 + x"93", -- Addr 5975, Offset 87 + x"07", -- Addr 5976, Offset 88 + x"4F", -- Addr 5977, Offset 89 + x"FF", -- Addr 5978, Offset 90 + x"FF", -- Addr 5979, Offset 91 + x"FF", -- Addr 5980, Offset 92 + x"FF", -- Addr 5981, Offset 93 + x"FF", -- Addr 5982, Offset 94 + x"FF", -- Addr 5983, Offset 95 + x"FF", -- Addr 5984, Offset 96 + x"ED", -- Addr 5985, Offset 97 + x"E0", -- Addr 5986, Offset 98 + x"E0", -- Addr 5987, Offset 99 + x"F2", -- Addr 5988, Offset 100 + x"FF", -- Addr 5989, Offset 101 + x"DB", -- Addr 5990, Offset 102 + x"2B", -- Addr 5991, Offset 103 + x"07", -- Addr 5992, Offset 104 + x"2B", -- Addr 5993, Offset 105 + x"FF", -- Addr 5994, Offset 106 + x"FF", -- Addr 5995, Offset 107 + x"FF", -- Addr 5996, Offset 108 + x"BE", -- Addr 5997, Offset 109 + x"BE", -- Addr 5998, Offset 110 + x"FF", -- Addr 5999, Offset 111 + x"FA", -- Addr 6000, Offset 112 + x"E4", -- Addr 6001, Offset 113 + x"E0", -- Addr 6002, Offset 114 + x"E9", -- Addr 6003, Offset 115 + x"FB", -- Addr 6004, Offset 116 + x"DB", -- Addr 6005, Offset 117 + x"4F", -- Addr 6006, Offset 118 + x"07", -- Addr 6007, Offset 119 + x"07", -- Addr 6008, Offset 120 + x"B7", -- Addr 6009, Offset 121 + x"FF", -- Addr 6010, Offset 122 + x"FF", -- Addr 6011, Offset 123 + x"DE", -- Addr 6012, Offset 124 + x"5C", -- Addr 6013, Offset 125 + x"5C", -- Addr 6014, Offset 126 + x"DE", -- Addr 6015, Offset 127 + x"FF", -- Addr 6016, Offset 128 + x"ED", -- Addr 6017, Offset 129 + x"E9", -- Addr 6018, Offset 130 + x"FB", -- Addr 6019, Offset 131 + x"FF", -- Addr 6020, Offset 132 + x"73", -- Addr 6021, Offset 133 + x"07", -- Addr 6022, Offset 134 + x"2B", -- Addr 6023, Offset 135 + x"97", -- Addr 6024, Offset 136 + x"FF", -- Addr 6025, Offset 137 + x"FF", -- Addr 6026, Offset 138 + x"FF", -- Addr 6027, Offset 139 + x"7D", -- Addr 6028, Offset 140 + x"5C", -- Addr 6029, Offset 141 + x"5C", -- Addr 6030, Offset 142 + x"DE", -- Addr 6031, Offset 143 + x"FF", -- Addr 6032, Offset 144 + x"FF", -- Addr 6033, Offset 145 + x"FF", -- Addr 6034, Offset 146 + x"FF", -- Addr 6035, Offset 147 + x"DB", -- Addr 6036, Offset 148 + x"4F", -- Addr 6037, Offset 149 + x"2B", -- Addr 6038, Offset 150 + x"DB", -- Addr 6039, Offset 151 + x"FF", -- Addr 6040, Offset 152 + x"FF", -- Addr 6041, Offset 153 + x"FF", -- Addr 6042, Offset 154 + x"BE", -- Addr 6043, Offset 155 + x"5C", -- Addr 6044, Offset 156 + x"5C", -- Addr 6045, Offset 157 + x"9D", -- Addr 6046, Offset 158 + x"FF", -- Addr 6047, Offset 159 + x"FF", -- Addr 6048, Offset 160 + x"FF", -- Addr 6049, Offset 161 + x"FF", -- Addr 6050, Offset 162 + x"FF", -- Addr 6051, Offset 163 + x"93", -- Addr 6052, Offset 164 + x"07", -- Addr 6053, Offset 165 + x"4F", -- Addr 6054, Offset 166 + x"FF", -- Addr 6055, Offset 167 + x"FF", -- Addr 6056, Offset 168 + x"FF", -- Addr 6057, Offset 169 + x"BE", -- Addr 6058, Offset 170 + x"7C", -- Addr 6059, Offset 171 + x"5C", -- Addr 6060, Offset 172 + x"9D", -- Addr 6061, Offset 173 + x"FF", -- Addr 6062, Offset 174 + x"FF", -- Addr 6063, Offset 175 + x"FF", -- Addr 6064, Offset 176 + x"FF", -- Addr 6065, Offset 177 + x"FF", -- Addr 6066, Offset 178 + x"B7", -- Addr 6067, Offset 179 + x"07", -- Addr 6068, Offset 180 + x"07", -- Addr 6069, Offset 181 + x"DB", -- Addr 6070, Offset 182 + x"FF", -- Addr 6071, Offset 183 + x"FF", -- Addr 6072, Offset 184 + x"DE", -- Addr 6073, Offset 185 + x"5C", -- Addr 6074, Offset 186 + x"5C", -- Addr 6075, Offset 187 + x"7D", -- Addr 6076, Offset 188 + x"FF", -- Addr 6077, Offset 189 + x"FF", -- Addr 6078, Offset 190 + x"FF", -- Addr 6079, Offset 191 + x"FF", -- Addr 6080, Offset 192 + x"FF", -- Addr 6081, Offset 193 + x"FF", -- Addr 6082, Offset 194 + x"2B", -- Addr 6083, Offset 195 + x"07", -- Addr 6084, Offset 196 + x"93", -- Addr 6085, Offset 197 + x"FF", -- Addr 6086, Offset 198 + x"FF", -- Addr 6087, Offset 199 + x"DF", -- Addr 6088, Offset 200 + x"7C", -- Addr 6089, Offset 201 + x"5C", -- Addr 6090, Offset 202 + x"9D", -- Addr 6091, Offset 203 + x"FF", -- Addr 6092, Offset 204 + x"FF", -- Addr 6093, Offset 205 + x"FF", -- Addr 6094, Offset 206 + x"FF", -- Addr 6095, Offset 207 + x"FF", -- Addr 6096, Offset 208 + x"FF", -- Addr 6097, Offset 209 + x"FF", -- Addr 6098, Offset 210 + x"B7", -- Addr 6099, Offset 211 + x"73", -- Addr 6100, Offset 212 + x"FF", -- Addr 6101, Offset 213 + x"FF", -- Addr 6102, Offset 214 + x"FF", -- Addr 6103, Offset 215 + x"9D", -- Addr 6104, Offset 216 + x"5C", -- Addr 6105, Offset 217 + x"9D", -- Addr 6106, Offset 218 + x"FF", -- Addr 6107, Offset 219 + x"FF", -- Addr 6108, Offset 220 + x"FF", -- Addr 6109, Offset 221 + x"FF", -- Addr 6110, Offset 222 + x"FF", -- Addr 6111, Offset 223 + x"FF", -- Addr 6112, Offset 224 + x"FF", -- Addr 6113, Offset 225 + x"FF", -- Addr 6114, Offset 226 + x"FF", -- Addr 6115, Offset 227 + x"FF", -- Addr 6116, Offset 228 + x"FF", -- Addr 6117, Offset 229 + x"FF", -- Addr 6118, Offset 230 + x"FF", -- Addr 6119, Offset 231 + x"DF", -- Addr 6120, Offset 232 + x"9D", -- Addr 6121, Offset 233 + x"DF", -- Addr 6122, Offset 234 + x"FF", -- Addr 6123, Offset 235 + x"FF", -- Addr 6124, Offset 236 + x"FF", -- Addr 6125, Offset 237 + x"FF", -- Addr 6126, Offset 238 + x"FF", -- Addr 6127, Offset 239 + x"FF", -- Addr 6128, Offset 240 + x"FF", -- Addr 6129, Offset 241 + x"FF", -- Addr 6130, Offset 242 + x"FF", -- Addr 6131, Offset 243 + x"FF", -- Addr 6132, Offset 244 + x"FF", -- Addr 6133, Offset 245 + x"FF", -- Addr 6134, Offset 246 + x"FF", -- Addr 6135, Offset 247 + x"FF", -- Addr 6136, Offset 248 + x"FF", -- Addr 6137, Offset 249 + x"FF", -- Addr 6138, Offset 250 + x"FF", -- Addr 6139, Offset 251 + x"FF", -- Addr 6140, Offset 252 + x"FF", -- Addr 6141, Offset 253 + x"FF", -- Addr 6142, Offset 254 + x"FF", -- Addr 6143, Offset 255 + + -- Sprite: 24, Basis-Adresse: 6144 + x"FF", -- Addr 6144, Offset 0 + x"FF", -- Addr 6145, Offset 1 + x"FF", -- Addr 6146, Offset 2 + x"FF", -- Addr 6147, Offset 3 + x"FF", -- Addr 6148, Offset 4 + x"FF", -- Addr 6149, Offset 5 + x"FF", -- Addr 6150, Offset 6 + x"FF", -- Addr 6151, Offset 7 + x"FF", -- Addr 6152, Offset 8 + x"FF", -- Addr 6153, Offset 9 + x"FF", -- Addr 6154, Offset 10 + x"FF", -- Addr 6155, Offset 11 + x"FF", -- Addr 6156, Offset 12 + x"FF", -- Addr 6157, Offset 13 + x"FF", -- Addr 6158, Offset 14 + x"FF", -- Addr 6159, Offset 15 + x"FF", -- Addr 6160, Offset 16 + x"FF", -- Addr 6161, Offset 17 + x"FF", -- Addr 6162, Offset 18 + x"FF", -- Addr 6163, Offset 19 + x"FF", -- Addr 6164, Offset 20 + x"FF", -- Addr 6165, Offset 21 + x"FF", -- Addr 6166, Offset 22 + x"FF", -- Addr 6167, Offset 23 + x"FF", -- Addr 6168, Offset 24 + x"FF", -- Addr 6169, Offset 25 + x"FF", -- Addr 6170, Offset 26 + x"FF", -- Addr 6171, Offset 27 + x"FF", -- Addr 6172, Offset 28 + x"FF", -- Addr 6173, Offset 29 + x"FF", -- Addr 6174, Offset 30 + x"FF", -- Addr 6175, Offset 31 + x"FF", -- Addr 6176, Offset 32 + x"FF", -- Addr 6177, Offset 33 + x"FF", -- Addr 6178, Offset 34 + x"FF", -- Addr 6179, Offset 35 + x"F2", -- Addr 6180, Offset 36 + x"F2", -- Addr 6181, Offset 37 + x"FF", -- Addr 6182, Offset 38 + x"FF", -- Addr 6183, Offset 39 + x"FF", -- Addr 6184, Offset 40 + x"FF", -- Addr 6185, Offset 41 + x"FF", -- Addr 6186, Offset 42 + x"FF", -- Addr 6187, Offset 43 + x"FF", -- Addr 6188, Offset 44 + x"FF", -- Addr 6189, Offset 45 + x"FF", -- Addr 6190, Offset 46 + x"FF", -- Addr 6191, Offset 47 + x"FF", -- Addr 6192, Offset 48 + x"FF", -- Addr 6193, Offset 49 + x"FF", -- Addr 6194, Offset 50 + x"F6", -- Addr 6195, Offset 51 + x"E0", -- Addr 6196, Offset 52 + x"E4", -- Addr 6197, Offset 53 + x"FB", -- Addr 6198, Offset 54 + x"FF", -- Addr 6199, Offset 55 + x"FF", -- Addr 6200, Offset 56 + x"FF", -- Addr 6201, Offset 57 + x"FF", -- Addr 6202, Offset 58 + x"FF", -- Addr 6203, Offset 59 + x"FF", -- Addr 6204, Offset 60 + x"FF", -- Addr 6205, Offset 61 + x"FF", -- Addr 6206, Offset 62 + x"FF", -- Addr 6207, Offset 63 + x"FF", -- Addr 6208, Offset 64 + x"FF", -- Addr 6209, Offset 65 + x"FB", -- Addr 6210, Offset 66 + x"E0", -- Addr 6211, Offset 67 + x"E0", -- Addr 6212, Offset 68 + x"E5", -- Addr 6213, Offset 69 + x"FB", -- Addr 6214, Offset 70 + x"FF", -- Addr 6215, Offset 71 + x"93", -- Addr 6216, Offset 72 + x"DB", -- Addr 6217, Offset 73 + x"FF", -- Addr 6218, Offset 74 + x"FF", -- Addr 6219, Offset 75 + x"FF", -- Addr 6220, Offset 76 + x"FF", -- Addr 6221, Offset 77 + x"FF", -- Addr 6222, Offset 78 + x"FF", -- Addr 6223, Offset 79 + x"FF", -- Addr 6224, Offset 80 + x"FF", -- Addr 6225, Offset 81 + x"E9", -- Addr 6226, Offset 82 + x"E0", -- Addr 6227, Offset 83 + x"E4", -- Addr 6228, Offset 84 + x"F6", -- Addr 6229, Offset 85 + x"FF", -- Addr 6230, Offset 86 + x"93", -- Addr 6231, Offset 87 + x"07", -- Addr 6232, Offset 88 + x"4F", -- Addr 6233, Offset 89 + x"FF", -- Addr 6234, Offset 90 + x"FF", -- Addr 6235, Offset 91 + x"FF", -- Addr 6236, Offset 92 + x"FF", -- Addr 6237, Offset 93 + x"FF", -- Addr 6238, Offset 94 + x"FF", -- Addr 6239, Offset 95 + x"FF", -- Addr 6240, Offset 96 + x"ED", -- Addr 6241, Offset 97 + x"E0", -- Addr 6242, Offset 98 + x"E0", -- Addr 6243, Offset 99 + x"F2", -- Addr 6244, Offset 100 + x"FF", -- Addr 6245, Offset 101 + x"DB", -- Addr 6246, Offset 102 + x"2B", -- Addr 6247, Offset 103 + x"07", -- Addr 6248, Offset 104 + x"2B", -- Addr 6249, Offset 105 + x"FF", -- Addr 6250, Offset 106 + x"FF", -- Addr 6251, Offset 107 + x"FF", -- Addr 6252, Offset 108 + x"BE", -- Addr 6253, Offset 109 + x"BE", -- Addr 6254, Offset 110 + x"FF", -- Addr 6255, Offset 111 + x"FA", -- Addr 6256, Offset 112 + x"E4", -- Addr 6257, Offset 113 + x"E0", -- Addr 6258, Offset 114 + x"E9", -- Addr 6259, Offset 115 + x"FB", -- Addr 6260, Offset 116 + x"DB", -- Addr 6261, Offset 117 + x"4F", -- Addr 6262, Offset 118 + x"07", -- Addr 6263, Offset 119 + x"07", -- Addr 6264, Offset 120 + x"B7", -- Addr 6265, Offset 121 + x"FF", -- Addr 6266, Offset 122 + x"FF", -- Addr 6267, Offset 123 + x"DE", -- Addr 6268, Offset 124 + x"5C", -- Addr 6269, Offset 125 + x"5C", -- Addr 6270, Offset 126 + x"DE", -- Addr 6271, Offset 127 + x"FF", -- Addr 6272, Offset 128 + x"ED", -- Addr 6273, Offset 129 + x"E9", -- Addr 6274, Offset 130 + x"FB", -- Addr 6275, Offset 131 + x"FF", -- Addr 6276, Offset 132 + x"73", -- Addr 6277, Offset 133 + x"07", -- Addr 6278, Offset 134 + x"2B", -- Addr 6279, Offset 135 + x"97", -- Addr 6280, Offset 136 + x"FF", -- Addr 6281, Offset 137 + x"FF", -- Addr 6282, Offset 138 + x"FF", -- Addr 6283, Offset 139 + x"7D", -- Addr 6284, Offset 140 + x"5C", -- Addr 6285, Offset 141 + x"5C", -- Addr 6286, Offset 142 + x"DE", -- Addr 6287, Offset 143 + x"FF", -- Addr 6288, Offset 144 + x"FF", -- Addr 6289, Offset 145 + x"FF", -- Addr 6290, Offset 146 + x"FF", -- Addr 6291, Offset 147 + x"DB", -- Addr 6292, Offset 148 + x"4F", -- Addr 6293, Offset 149 + x"2B", -- Addr 6294, Offset 150 + x"DB", -- Addr 6295, Offset 151 + x"FF", -- Addr 6296, Offset 152 + x"FF", -- Addr 6297, Offset 153 + x"FF", -- Addr 6298, Offset 154 + x"BE", -- Addr 6299, Offset 155 + x"5C", -- Addr 6300, Offset 156 + x"5C", -- Addr 6301, Offset 157 + x"9D", -- Addr 6302, Offset 158 + x"FF", -- Addr 6303, Offset 159 + x"FF", -- Addr 6304, Offset 160 + x"FF", -- Addr 6305, Offset 161 + x"FF", -- Addr 6306, Offset 162 + x"FF", -- Addr 6307, Offset 163 + x"93", -- Addr 6308, Offset 164 + x"07", -- Addr 6309, Offset 165 + x"4F", -- Addr 6310, Offset 166 + x"FF", -- Addr 6311, Offset 167 + x"FF", -- Addr 6312, Offset 168 + x"FF", -- Addr 6313, Offset 169 + x"BE", -- Addr 6314, Offset 170 + x"7C", -- Addr 6315, Offset 171 + x"5C", -- Addr 6316, Offset 172 + x"9D", -- Addr 6317, Offset 173 + x"FF", -- Addr 6318, Offset 174 + x"FF", -- Addr 6319, Offset 175 + x"FF", -- Addr 6320, Offset 176 + x"FF", -- Addr 6321, Offset 177 + x"FF", -- Addr 6322, Offset 178 + x"B7", -- Addr 6323, Offset 179 + x"07", -- Addr 6324, Offset 180 + x"07", -- Addr 6325, Offset 181 + x"DB", -- Addr 6326, Offset 182 + x"FF", -- Addr 6327, Offset 183 + x"FF", -- Addr 6328, Offset 184 + x"DE", -- Addr 6329, Offset 185 + x"5C", -- Addr 6330, Offset 186 + x"5C", -- Addr 6331, Offset 187 + x"7D", -- Addr 6332, Offset 188 + x"FF", -- Addr 6333, Offset 189 + x"FF", -- Addr 6334, Offset 190 + x"FF", -- Addr 6335, Offset 191 + x"FF", -- Addr 6336, Offset 192 + x"FF", -- Addr 6337, Offset 193 + x"FF", -- Addr 6338, Offset 194 + x"2B", -- Addr 6339, Offset 195 + x"07", -- Addr 6340, Offset 196 + x"93", -- Addr 6341, Offset 197 + x"FF", -- Addr 6342, Offset 198 + x"FF", -- Addr 6343, Offset 199 + x"DF", -- Addr 6344, Offset 200 + x"7C", -- Addr 6345, Offset 201 + x"5C", -- Addr 6346, Offset 202 + x"9D", -- Addr 6347, Offset 203 + x"FF", -- Addr 6348, Offset 204 + x"FF", -- Addr 6349, Offset 205 + x"FF", -- Addr 6350, Offset 206 + x"FF", -- Addr 6351, Offset 207 + x"FF", -- Addr 6352, Offset 208 + x"FF", -- Addr 6353, Offset 209 + x"FF", -- Addr 6354, Offset 210 + x"B7", -- Addr 6355, Offset 211 + x"73", -- Addr 6356, Offset 212 + x"FF", -- Addr 6357, Offset 213 + x"FF", -- Addr 6358, Offset 214 + x"FF", -- Addr 6359, Offset 215 + x"9D", -- Addr 6360, Offset 216 + x"5C", -- Addr 6361, Offset 217 + x"9D", -- Addr 6362, Offset 218 + x"FF", -- Addr 6363, Offset 219 + x"FF", -- Addr 6364, Offset 220 + x"FF", -- Addr 6365, Offset 221 + x"FF", -- Addr 6366, Offset 222 + x"FF", -- Addr 6367, Offset 223 + x"FF", -- Addr 6368, Offset 224 + x"FF", -- Addr 6369, Offset 225 + x"FF", -- Addr 6370, Offset 226 + x"FF", -- Addr 6371, Offset 227 + x"FF", -- Addr 6372, Offset 228 + x"FF", -- Addr 6373, Offset 229 + x"FF", -- Addr 6374, Offset 230 + x"FF", -- Addr 6375, Offset 231 + x"DF", -- Addr 6376, Offset 232 + x"9D", -- Addr 6377, Offset 233 + x"DF", -- Addr 6378, Offset 234 + x"FF", -- Addr 6379, Offset 235 + x"FF", -- Addr 6380, Offset 236 + x"FF", -- Addr 6381, Offset 237 + x"FF", -- Addr 6382, Offset 238 + x"FF", -- Addr 6383, Offset 239 + x"FF", -- Addr 6384, Offset 240 + x"FF", -- Addr 6385, Offset 241 + x"FF", -- Addr 6386, Offset 242 + x"FF", -- Addr 6387, Offset 243 + x"FF", -- Addr 6388, Offset 244 + x"FF", -- Addr 6389, Offset 245 + x"FF", -- Addr 6390, Offset 246 + x"FF", -- Addr 6391, Offset 247 + x"FF", -- Addr 6392, Offset 248 + x"FF", -- Addr 6393, Offset 249 + x"FF", -- Addr 6394, Offset 250 + x"FF", -- Addr 6395, Offset 251 + x"FF", -- Addr 6396, Offset 252 + x"FF", -- Addr 6397, Offset 253 + x"FF", -- Addr 6398, Offset 254 + x"FF", -- Addr 6399, Offset 255 + + -- Sprite: 25, Basis-Adresse: 6400 + x"FF", -- Addr 6400, Offset 0 + x"FF", -- Addr 6401, Offset 1 + x"FF", -- Addr 6402, Offset 2 + x"FF", -- Addr 6403, Offset 3 + x"FF", -- Addr 6404, Offset 4 + x"FF", -- Addr 6405, Offset 5 + x"FF", -- Addr 6406, Offset 6 + x"FF", -- Addr 6407, Offset 7 + x"FF", -- Addr 6408, Offset 8 + x"FF", -- Addr 6409, Offset 9 + x"FF", -- Addr 6410, Offset 10 + x"FF", -- Addr 6411, Offset 11 + x"FF", -- Addr 6412, Offset 12 + x"FF", -- Addr 6413, Offset 13 + x"FF", -- Addr 6414, Offset 14 + x"FF", -- Addr 6415, Offset 15 + x"FF", -- Addr 6416, Offset 16 + x"FF", -- Addr 6417, Offset 17 + x"FF", -- Addr 6418, Offset 18 + x"FF", -- Addr 6419, Offset 19 + x"FF", -- Addr 6420, Offset 20 + x"FF", -- Addr 6421, Offset 21 + x"FF", -- Addr 6422, Offset 22 + x"FF", -- Addr 6423, Offset 23 + x"FF", -- Addr 6424, Offset 24 + x"FF", -- Addr 6425, Offset 25 + x"FF", -- Addr 6426, Offset 26 + x"FF", -- Addr 6427, Offset 27 + x"FF", -- Addr 6428, Offset 28 + x"FF", -- Addr 6429, Offset 29 + x"FF", -- Addr 6430, Offset 30 + x"FF", -- Addr 6431, Offset 31 + x"FF", -- Addr 6432, Offset 32 + x"FF", -- Addr 6433, Offset 33 + x"FF", -- Addr 6434, Offset 34 + x"FF", -- Addr 6435, Offset 35 + x"F2", -- Addr 6436, Offset 36 + x"F2", -- Addr 6437, Offset 37 + x"FF", -- Addr 6438, Offset 38 + x"FF", -- Addr 6439, Offset 39 + x"FF", -- Addr 6440, Offset 40 + x"FF", -- Addr 6441, Offset 41 + x"FF", -- Addr 6442, Offset 42 + x"FF", -- Addr 6443, Offset 43 + x"FF", -- Addr 6444, Offset 44 + x"FF", -- Addr 6445, Offset 45 + x"FF", -- Addr 6446, Offset 46 + x"FF", -- Addr 6447, Offset 47 + x"FF", -- Addr 6448, Offset 48 + x"FF", -- Addr 6449, Offset 49 + x"FF", -- Addr 6450, Offset 50 + x"F6", -- Addr 6451, Offset 51 + x"E0", -- Addr 6452, Offset 52 + x"E4", -- Addr 6453, Offset 53 + x"FB", -- Addr 6454, Offset 54 + x"FF", -- Addr 6455, Offset 55 + x"FF", -- Addr 6456, Offset 56 + x"FF", -- Addr 6457, Offset 57 + x"FF", -- Addr 6458, Offset 58 + x"FF", -- Addr 6459, Offset 59 + x"FF", -- Addr 6460, Offset 60 + x"FF", -- Addr 6461, Offset 61 + x"FF", -- Addr 6462, Offset 62 + x"FF", -- Addr 6463, Offset 63 + x"FF", -- Addr 6464, Offset 64 + x"FF", -- Addr 6465, Offset 65 + x"FB", -- Addr 6466, Offset 66 + x"E0", -- Addr 6467, Offset 67 + x"E0", -- Addr 6468, Offset 68 + x"E5", -- Addr 6469, Offset 69 + x"FB", -- Addr 6470, Offset 70 + x"FF", -- Addr 6471, Offset 71 + x"93", -- Addr 6472, Offset 72 + x"DB", -- Addr 6473, Offset 73 + x"FF", -- Addr 6474, Offset 74 + x"FF", -- Addr 6475, Offset 75 + x"FF", -- Addr 6476, Offset 76 + x"FF", -- Addr 6477, Offset 77 + x"FF", -- Addr 6478, Offset 78 + x"FF", -- Addr 6479, Offset 79 + x"FF", -- Addr 6480, Offset 80 + x"FF", -- Addr 6481, Offset 81 + x"E9", -- Addr 6482, Offset 82 + x"E0", -- Addr 6483, Offset 83 + x"E4", -- Addr 6484, Offset 84 + x"F6", -- Addr 6485, Offset 85 + x"FF", -- Addr 6486, Offset 86 + x"93", -- Addr 6487, Offset 87 + x"07", -- Addr 6488, Offset 88 + x"4F", -- Addr 6489, Offset 89 + x"FF", -- Addr 6490, Offset 90 + x"FF", -- Addr 6491, Offset 91 + x"FF", -- Addr 6492, Offset 92 + x"FF", -- Addr 6493, Offset 93 + x"FF", -- Addr 6494, Offset 94 + x"FF", -- Addr 6495, Offset 95 + x"FF", -- Addr 6496, Offset 96 + x"ED", -- Addr 6497, Offset 97 + x"E0", -- Addr 6498, Offset 98 + x"E0", -- Addr 6499, Offset 99 + x"F2", -- Addr 6500, Offset 100 + x"FF", -- Addr 6501, Offset 101 + x"DB", -- Addr 6502, Offset 102 + x"2B", -- Addr 6503, Offset 103 + x"07", -- Addr 6504, Offset 104 + x"2B", -- Addr 6505, Offset 105 + x"FF", -- Addr 6506, Offset 106 + x"FF", -- Addr 6507, Offset 107 + x"FF", -- Addr 6508, Offset 108 + x"BE", -- Addr 6509, Offset 109 + x"BE", -- Addr 6510, Offset 110 + x"FF", -- Addr 6511, Offset 111 + x"FA", -- Addr 6512, Offset 112 + x"E4", -- Addr 6513, Offset 113 + x"E0", -- Addr 6514, Offset 114 + x"E9", -- Addr 6515, Offset 115 + x"FB", -- Addr 6516, Offset 116 + x"DB", -- Addr 6517, Offset 117 + x"4F", -- Addr 6518, Offset 118 + x"07", -- Addr 6519, Offset 119 + x"07", -- Addr 6520, Offset 120 + x"B7", -- Addr 6521, Offset 121 + x"FF", -- Addr 6522, Offset 122 + x"FF", -- Addr 6523, Offset 123 + x"DE", -- Addr 6524, Offset 124 + x"5C", -- Addr 6525, Offset 125 + x"5C", -- Addr 6526, Offset 126 + x"DE", -- Addr 6527, Offset 127 + x"FF", -- Addr 6528, Offset 128 + x"ED", -- Addr 6529, Offset 129 + x"E9", -- Addr 6530, Offset 130 + x"FB", -- Addr 6531, Offset 131 + x"FF", -- Addr 6532, Offset 132 + x"73", -- Addr 6533, Offset 133 + x"07", -- Addr 6534, Offset 134 + x"2B", -- Addr 6535, Offset 135 + x"97", -- Addr 6536, Offset 136 + x"FF", -- Addr 6537, Offset 137 + x"FF", -- Addr 6538, Offset 138 + x"FF", -- Addr 6539, Offset 139 + x"7D", -- Addr 6540, Offset 140 + x"5C", -- Addr 6541, Offset 141 + x"5C", -- Addr 6542, Offset 142 + x"DE", -- Addr 6543, Offset 143 + x"FF", -- Addr 6544, Offset 144 + x"FF", -- Addr 6545, Offset 145 + x"FF", -- Addr 6546, Offset 146 + x"FF", -- Addr 6547, Offset 147 + x"DB", -- Addr 6548, Offset 148 + x"4F", -- Addr 6549, Offset 149 + x"2B", -- Addr 6550, Offset 150 + x"DB", -- Addr 6551, Offset 151 + x"FF", -- Addr 6552, Offset 152 + x"FF", -- Addr 6553, Offset 153 + x"FF", -- Addr 6554, Offset 154 + x"BE", -- Addr 6555, Offset 155 + x"5C", -- Addr 6556, Offset 156 + x"5C", -- Addr 6557, Offset 157 + x"9D", -- Addr 6558, Offset 158 + x"FF", -- Addr 6559, Offset 159 + x"FF", -- Addr 6560, Offset 160 + x"FF", -- Addr 6561, Offset 161 + x"FF", -- Addr 6562, Offset 162 + x"FF", -- Addr 6563, Offset 163 + x"93", -- Addr 6564, Offset 164 + x"07", -- Addr 6565, Offset 165 + x"4F", -- Addr 6566, Offset 166 + x"FF", -- Addr 6567, Offset 167 + x"FF", -- Addr 6568, Offset 168 + x"FF", -- Addr 6569, Offset 169 + x"BE", -- Addr 6570, Offset 170 + x"7C", -- Addr 6571, Offset 171 + x"5C", -- Addr 6572, Offset 172 + x"9D", -- Addr 6573, Offset 173 + x"FF", -- Addr 6574, Offset 174 + x"FF", -- Addr 6575, Offset 175 + x"FF", -- Addr 6576, Offset 176 + x"FF", -- Addr 6577, Offset 177 + x"FF", -- Addr 6578, Offset 178 + x"B7", -- Addr 6579, Offset 179 + x"07", -- Addr 6580, Offset 180 + x"07", -- Addr 6581, Offset 181 + x"DB", -- Addr 6582, Offset 182 + x"FF", -- Addr 6583, Offset 183 + x"FF", -- Addr 6584, Offset 184 + x"DE", -- Addr 6585, Offset 185 + x"5C", -- Addr 6586, Offset 186 + x"5C", -- Addr 6587, Offset 187 + x"7D", -- Addr 6588, Offset 188 + x"FF", -- Addr 6589, Offset 189 + x"FF", -- Addr 6590, Offset 190 + x"FF", -- Addr 6591, Offset 191 + x"FF", -- Addr 6592, Offset 192 + x"FF", -- Addr 6593, Offset 193 + x"FF", -- Addr 6594, Offset 194 + x"2B", -- Addr 6595, Offset 195 + x"07", -- Addr 6596, Offset 196 + x"93", -- Addr 6597, Offset 197 + x"FF", -- Addr 6598, Offset 198 + x"FF", -- Addr 6599, Offset 199 + x"DF", -- Addr 6600, Offset 200 + x"7C", -- Addr 6601, Offset 201 + x"5C", -- Addr 6602, Offset 202 + x"9D", -- Addr 6603, Offset 203 + x"FF", -- Addr 6604, Offset 204 + x"FF", -- Addr 6605, Offset 205 + x"FF", -- Addr 6606, Offset 206 + x"FF", -- Addr 6607, Offset 207 + x"FF", -- Addr 6608, Offset 208 + x"FF", -- Addr 6609, Offset 209 + x"FF", -- Addr 6610, Offset 210 + x"B7", -- Addr 6611, Offset 211 + x"73", -- Addr 6612, Offset 212 + x"FF", -- Addr 6613, Offset 213 + x"FF", -- Addr 6614, Offset 214 + x"FF", -- Addr 6615, Offset 215 + x"9D", -- Addr 6616, Offset 216 + x"5C", -- Addr 6617, Offset 217 + x"9D", -- Addr 6618, Offset 218 + x"FF", -- Addr 6619, Offset 219 + x"FF", -- Addr 6620, Offset 220 + x"FF", -- Addr 6621, Offset 221 + x"FF", -- Addr 6622, Offset 222 + x"FF", -- Addr 6623, Offset 223 + x"FF", -- Addr 6624, Offset 224 + x"FF", -- Addr 6625, Offset 225 + x"FF", -- Addr 6626, Offset 226 + x"FF", -- Addr 6627, Offset 227 + x"FF", -- Addr 6628, Offset 228 + x"FF", -- Addr 6629, Offset 229 + x"FF", -- Addr 6630, Offset 230 + x"FF", -- Addr 6631, Offset 231 + x"DF", -- Addr 6632, Offset 232 + x"9D", -- Addr 6633, Offset 233 + x"DF", -- Addr 6634, Offset 234 + x"FF", -- Addr 6635, Offset 235 + x"FF", -- Addr 6636, Offset 236 + x"FF", -- Addr 6637, Offset 237 + x"FF", -- Addr 6638, Offset 238 + x"FF", -- Addr 6639, Offset 239 + x"FF", -- Addr 6640, Offset 240 + x"FF", -- Addr 6641, Offset 241 + x"FF", -- Addr 6642, Offset 242 + x"FF", -- Addr 6643, Offset 243 + x"FF", -- Addr 6644, Offset 244 + x"FF", -- Addr 6645, Offset 245 + x"FF", -- Addr 6646, Offset 246 + x"FF", -- Addr 6647, Offset 247 + x"FF", -- Addr 6648, Offset 248 + x"FF", -- Addr 6649, Offset 249 + x"FF", -- Addr 6650, Offset 250 + x"FF", -- Addr 6651, Offset 251 + x"FF", -- Addr 6652, Offset 252 + x"FF", -- Addr 6653, Offset 253 + x"FF", -- Addr 6654, Offset 254 + x"FF", -- Addr 6655, Offset 255 + + -- Sprite: 26, Basis-Adresse: 6656 + x"FF", -- Addr 6656, Offset 0 + x"FF", -- Addr 6657, Offset 1 + x"FF", -- Addr 6658, Offset 2 + x"FF", -- Addr 6659, Offset 3 + x"FF", -- Addr 6660, Offset 4 + x"FF", -- Addr 6661, Offset 5 + x"FF", -- Addr 6662, Offset 6 + x"FF", -- Addr 6663, Offset 7 + x"FF", -- Addr 6664, Offset 8 + x"FF", -- Addr 6665, Offset 9 + x"FF", -- Addr 6666, Offset 10 + x"FF", -- Addr 6667, Offset 11 + x"FF", -- Addr 6668, Offset 12 + x"FF", -- Addr 6669, Offset 13 + x"FF", -- Addr 6670, Offset 14 + x"FF", -- Addr 6671, Offset 15 + x"FF", -- Addr 6672, Offset 16 + x"FF", -- Addr 6673, Offset 17 + x"FF", -- Addr 6674, Offset 18 + x"FF", -- Addr 6675, Offset 19 + x"FF", -- Addr 6676, Offset 20 + x"FF", -- Addr 6677, Offset 21 + x"FF", -- Addr 6678, Offset 22 + x"FF", -- Addr 6679, Offset 23 + x"FF", -- Addr 6680, Offset 24 + x"FF", -- Addr 6681, Offset 25 + x"FF", -- Addr 6682, Offset 26 + x"FF", -- Addr 6683, Offset 27 + x"FF", -- Addr 6684, Offset 28 + x"FF", -- Addr 6685, Offset 29 + x"FF", -- Addr 6686, Offset 30 + x"FF", -- Addr 6687, Offset 31 + x"FF", -- Addr 6688, Offset 32 + x"FF", -- Addr 6689, Offset 33 + x"FF", -- Addr 6690, Offset 34 + x"FF", -- Addr 6691, Offset 35 + x"F2", -- Addr 6692, Offset 36 + x"F2", -- Addr 6693, Offset 37 + x"FF", -- Addr 6694, Offset 38 + x"FF", -- Addr 6695, Offset 39 + x"FF", -- Addr 6696, Offset 40 + x"FF", -- Addr 6697, Offset 41 + x"FF", -- Addr 6698, Offset 42 + x"FF", -- Addr 6699, Offset 43 + x"FF", -- Addr 6700, Offset 44 + x"FF", -- Addr 6701, Offset 45 + x"FF", -- Addr 6702, Offset 46 + x"FF", -- Addr 6703, Offset 47 + x"FF", -- Addr 6704, Offset 48 + x"FF", -- Addr 6705, Offset 49 + x"FF", -- Addr 6706, Offset 50 + x"F6", -- Addr 6707, Offset 51 + x"E0", -- Addr 6708, Offset 52 + x"E4", -- Addr 6709, Offset 53 + x"FB", -- Addr 6710, Offset 54 + x"FF", -- Addr 6711, Offset 55 + x"FF", -- Addr 6712, Offset 56 + x"FF", -- Addr 6713, Offset 57 + x"FF", -- Addr 6714, Offset 58 + x"FF", -- Addr 6715, Offset 59 + x"FF", -- Addr 6716, Offset 60 + x"FF", -- Addr 6717, Offset 61 + x"FF", -- Addr 6718, Offset 62 + x"FF", -- Addr 6719, Offset 63 + x"FF", -- Addr 6720, Offset 64 + x"FF", -- Addr 6721, Offset 65 + x"FB", -- Addr 6722, Offset 66 + x"E0", -- Addr 6723, Offset 67 + x"E0", -- Addr 6724, Offset 68 + x"E5", -- Addr 6725, Offset 69 + x"FB", -- Addr 6726, Offset 70 + x"FF", -- Addr 6727, Offset 71 + x"93", -- Addr 6728, Offset 72 + x"DB", -- Addr 6729, Offset 73 + x"FF", -- Addr 6730, Offset 74 + x"FF", -- Addr 6731, Offset 75 + x"FF", -- Addr 6732, Offset 76 + x"FF", -- Addr 6733, Offset 77 + x"FF", -- Addr 6734, Offset 78 + x"FF", -- Addr 6735, Offset 79 + x"FF", -- Addr 6736, Offset 80 + x"FF", -- Addr 6737, Offset 81 + x"E9", -- Addr 6738, Offset 82 + x"E0", -- Addr 6739, Offset 83 + x"E4", -- Addr 6740, Offset 84 + x"F6", -- Addr 6741, Offset 85 + x"FF", -- Addr 6742, Offset 86 + x"93", -- Addr 6743, Offset 87 + x"07", -- Addr 6744, Offset 88 + x"4F", -- Addr 6745, Offset 89 + x"FF", -- Addr 6746, Offset 90 + x"FF", -- Addr 6747, Offset 91 + x"FF", -- Addr 6748, Offset 92 + x"FF", -- Addr 6749, Offset 93 + x"FF", -- Addr 6750, Offset 94 + x"FF", -- Addr 6751, Offset 95 + x"FF", -- Addr 6752, Offset 96 + x"ED", -- Addr 6753, Offset 97 + x"E0", -- Addr 6754, Offset 98 + x"E0", -- Addr 6755, Offset 99 + x"F2", -- Addr 6756, Offset 100 + x"FF", -- Addr 6757, Offset 101 + x"DB", -- Addr 6758, Offset 102 + x"2B", -- Addr 6759, Offset 103 + x"07", -- Addr 6760, Offset 104 + x"2B", -- Addr 6761, Offset 105 + x"FF", -- Addr 6762, Offset 106 + x"FF", -- Addr 6763, Offset 107 + x"FF", -- Addr 6764, Offset 108 + x"BE", -- Addr 6765, Offset 109 + x"BE", -- Addr 6766, Offset 110 + x"FF", -- Addr 6767, Offset 111 + x"FA", -- Addr 6768, Offset 112 + x"E4", -- Addr 6769, Offset 113 + x"E0", -- Addr 6770, Offset 114 + x"E9", -- Addr 6771, Offset 115 + x"FB", -- Addr 6772, Offset 116 + x"DB", -- Addr 6773, Offset 117 + x"4F", -- Addr 6774, Offset 118 + x"07", -- Addr 6775, Offset 119 + x"07", -- Addr 6776, Offset 120 + x"B7", -- Addr 6777, Offset 121 + x"FF", -- Addr 6778, Offset 122 + x"FF", -- Addr 6779, Offset 123 + x"DE", -- Addr 6780, Offset 124 + x"5C", -- Addr 6781, Offset 125 + x"5C", -- Addr 6782, Offset 126 + x"DE", -- Addr 6783, Offset 127 + x"FF", -- Addr 6784, Offset 128 + x"ED", -- Addr 6785, Offset 129 + x"E9", -- Addr 6786, Offset 130 + x"FB", -- Addr 6787, Offset 131 + x"FF", -- Addr 6788, Offset 132 + x"73", -- Addr 6789, Offset 133 + x"07", -- Addr 6790, Offset 134 + x"2B", -- Addr 6791, Offset 135 + x"97", -- Addr 6792, Offset 136 + x"FF", -- Addr 6793, Offset 137 + x"FF", -- Addr 6794, Offset 138 + x"FF", -- Addr 6795, Offset 139 + x"7D", -- Addr 6796, Offset 140 + x"5C", -- Addr 6797, Offset 141 + x"5C", -- Addr 6798, Offset 142 + x"DE", -- Addr 6799, Offset 143 + x"FF", -- Addr 6800, Offset 144 + x"FF", -- Addr 6801, Offset 145 + x"FF", -- Addr 6802, Offset 146 + x"FF", -- Addr 6803, Offset 147 + x"DB", -- Addr 6804, Offset 148 + x"4F", -- Addr 6805, Offset 149 + x"2B", -- Addr 6806, Offset 150 + x"DB", -- Addr 6807, Offset 151 + x"FF", -- Addr 6808, Offset 152 + x"FF", -- Addr 6809, Offset 153 + x"FF", -- Addr 6810, Offset 154 + x"BE", -- Addr 6811, Offset 155 + x"5C", -- Addr 6812, Offset 156 + x"5C", -- Addr 6813, Offset 157 + x"9D", -- Addr 6814, Offset 158 + x"FF", -- Addr 6815, Offset 159 + x"FF", -- Addr 6816, Offset 160 + x"FF", -- Addr 6817, Offset 161 + x"FF", -- Addr 6818, Offset 162 + x"FF", -- Addr 6819, Offset 163 + x"93", -- Addr 6820, Offset 164 + x"07", -- Addr 6821, Offset 165 + x"4F", -- Addr 6822, Offset 166 + x"FF", -- Addr 6823, Offset 167 + x"FF", -- Addr 6824, Offset 168 + x"FF", -- Addr 6825, Offset 169 + x"BE", -- Addr 6826, Offset 170 + x"7C", -- Addr 6827, Offset 171 + x"5C", -- Addr 6828, Offset 172 + x"9D", -- Addr 6829, Offset 173 + x"FF", -- Addr 6830, Offset 174 + x"FF", -- Addr 6831, Offset 175 + x"FF", -- Addr 6832, Offset 176 + x"FF", -- Addr 6833, Offset 177 + x"FF", -- Addr 6834, Offset 178 + x"B7", -- Addr 6835, Offset 179 + x"07", -- Addr 6836, Offset 180 + x"07", -- Addr 6837, Offset 181 + x"DB", -- Addr 6838, Offset 182 + x"FF", -- Addr 6839, Offset 183 + x"FF", -- Addr 6840, Offset 184 + x"DE", -- Addr 6841, Offset 185 + x"5C", -- Addr 6842, Offset 186 + x"5C", -- Addr 6843, Offset 187 + x"7D", -- Addr 6844, Offset 188 + x"FF", -- Addr 6845, Offset 189 + x"FF", -- Addr 6846, Offset 190 + x"FF", -- Addr 6847, Offset 191 + x"FF", -- Addr 6848, Offset 192 + x"FF", -- Addr 6849, Offset 193 + x"FF", -- Addr 6850, Offset 194 + x"2B", -- Addr 6851, Offset 195 + x"07", -- Addr 6852, Offset 196 + x"93", -- Addr 6853, Offset 197 + x"FF", -- Addr 6854, Offset 198 + x"FF", -- Addr 6855, Offset 199 + x"DF", -- Addr 6856, Offset 200 + x"7C", -- Addr 6857, Offset 201 + x"5C", -- Addr 6858, Offset 202 + x"9D", -- Addr 6859, Offset 203 + x"FF", -- Addr 6860, Offset 204 + x"FF", -- Addr 6861, Offset 205 + x"FF", -- Addr 6862, Offset 206 + x"FF", -- Addr 6863, Offset 207 + x"FF", -- Addr 6864, Offset 208 + x"FF", -- Addr 6865, Offset 209 + x"FF", -- Addr 6866, Offset 210 + x"B7", -- Addr 6867, Offset 211 + x"73", -- Addr 6868, Offset 212 + x"FF", -- Addr 6869, Offset 213 + x"FF", -- Addr 6870, Offset 214 + x"FF", -- Addr 6871, Offset 215 + x"9D", -- Addr 6872, Offset 216 + x"5C", -- Addr 6873, Offset 217 + x"9D", -- Addr 6874, Offset 218 + x"FF", -- Addr 6875, Offset 219 + x"FF", -- Addr 6876, Offset 220 + x"FF", -- Addr 6877, Offset 221 + x"FF", -- Addr 6878, Offset 222 + x"FF", -- Addr 6879, Offset 223 + x"FF", -- Addr 6880, Offset 224 + x"FF", -- Addr 6881, Offset 225 + x"FF", -- Addr 6882, Offset 226 + x"FF", -- Addr 6883, Offset 227 + x"FF", -- Addr 6884, Offset 228 + x"FF", -- Addr 6885, Offset 229 + x"FF", -- Addr 6886, Offset 230 + x"FF", -- Addr 6887, Offset 231 + x"DF", -- Addr 6888, Offset 232 + x"9D", -- Addr 6889, Offset 233 + x"DF", -- Addr 6890, Offset 234 + x"FF", -- Addr 6891, Offset 235 + x"FF", -- Addr 6892, Offset 236 + x"FF", -- Addr 6893, Offset 237 + x"FF", -- Addr 6894, Offset 238 + x"FF", -- Addr 6895, Offset 239 + x"FF", -- Addr 6896, Offset 240 + x"FF", -- Addr 6897, Offset 241 + x"FF", -- Addr 6898, Offset 242 + x"FF", -- Addr 6899, Offset 243 + x"FF", -- Addr 6900, Offset 244 + x"FF", -- Addr 6901, Offset 245 + x"FF", -- Addr 6902, Offset 246 + x"FF", -- Addr 6903, Offset 247 + x"FF", -- Addr 6904, Offset 248 + x"FF", -- Addr 6905, Offset 249 + x"FF", -- Addr 6906, Offset 250 + x"FF", -- Addr 6907, Offset 251 + x"FF", -- Addr 6908, Offset 252 + x"FF", -- Addr 6909, Offset 253 + x"FF", -- Addr 6910, Offset 254 + x"FF", -- Addr 6911, Offset 255 + + -- Sprite: 27, Basis-Adresse: 6912 + x"FF", -- Addr 6912, Offset 0 + x"FF", -- Addr 6913, Offset 1 + x"FF", -- Addr 6914, Offset 2 + x"FF", -- Addr 6915, Offset 3 + x"FF", -- Addr 6916, Offset 4 + x"FF", -- Addr 6917, Offset 5 + x"FF", -- Addr 6918, Offset 6 + x"FF", -- Addr 6919, Offset 7 + x"FF", -- Addr 6920, Offset 8 + x"FF", -- Addr 6921, Offset 9 + x"FF", -- Addr 6922, Offset 10 + x"FF", -- Addr 6923, Offset 11 + x"FF", -- Addr 6924, Offset 12 + x"FF", -- Addr 6925, Offset 13 + x"FF", -- Addr 6926, Offset 14 + x"FF", -- Addr 6927, Offset 15 + x"FF", -- Addr 6928, Offset 16 + x"FF", -- Addr 6929, Offset 17 + x"FF", -- Addr 6930, Offset 18 + x"FF", -- Addr 6931, Offset 19 + x"FF", -- Addr 6932, Offset 20 + x"FF", -- Addr 6933, Offset 21 + x"FF", -- Addr 6934, Offset 22 + x"FF", -- Addr 6935, Offset 23 + x"FF", -- Addr 6936, Offset 24 + x"FF", -- Addr 6937, Offset 25 + x"FF", -- Addr 6938, Offset 26 + x"FF", -- Addr 6939, Offset 27 + x"FF", -- Addr 6940, Offset 28 + x"FF", -- Addr 6941, Offset 29 + x"FF", -- Addr 6942, Offset 30 + x"FF", -- Addr 6943, Offset 31 + x"FF", -- Addr 6944, Offset 32 + x"FF", -- Addr 6945, Offset 33 + x"FF", -- Addr 6946, Offset 34 + x"FF", -- Addr 6947, Offset 35 + x"F2", -- Addr 6948, Offset 36 + x"F2", -- Addr 6949, Offset 37 + x"FF", -- Addr 6950, Offset 38 + x"FF", -- Addr 6951, Offset 39 + x"FF", -- Addr 6952, Offset 40 + x"FF", -- Addr 6953, Offset 41 + x"FF", -- Addr 6954, Offset 42 + x"FF", -- Addr 6955, Offset 43 + x"FF", -- Addr 6956, Offset 44 + x"FF", -- Addr 6957, Offset 45 + x"FF", -- Addr 6958, Offset 46 + x"FF", -- Addr 6959, Offset 47 + x"FF", -- Addr 6960, Offset 48 + x"FF", -- Addr 6961, Offset 49 + x"FF", -- Addr 6962, Offset 50 + x"F6", -- Addr 6963, Offset 51 + x"E0", -- Addr 6964, Offset 52 + x"E4", -- Addr 6965, Offset 53 + x"FB", -- Addr 6966, Offset 54 + x"FF", -- Addr 6967, Offset 55 + x"FF", -- Addr 6968, Offset 56 + x"FF", -- Addr 6969, Offset 57 + x"FF", -- Addr 6970, Offset 58 + x"FF", -- Addr 6971, Offset 59 + x"FF", -- Addr 6972, Offset 60 + x"FF", -- Addr 6973, Offset 61 + x"FF", -- Addr 6974, Offset 62 + x"FF", -- Addr 6975, Offset 63 + x"FF", -- Addr 6976, Offset 64 + x"FF", -- Addr 6977, Offset 65 + x"FB", -- Addr 6978, Offset 66 + x"E0", -- Addr 6979, Offset 67 + x"E0", -- Addr 6980, Offset 68 + x"E5", -- Addr 6981, Offset 69 + x"FB", -- Addr 6982, Offset 70 + x"FF", -- Addr 6983, Offset 71 + x"93", -- Addr 6984, Offset 72 + x"DB", -- Addr 6985, Offset 73 + x"FF", -- Addr 6986, Offset 74 + x"FF", -- Addr 6987, Offset 75 + x"FF", -- Addr 6988, Offset 76 + x"FF", -- Addr 6989, Offset 77 + x"FF", -- Addr 6990, Offset 78 + x"FF", -- Addr 6991, Offset 79 + x"FF", -- Addr 6992, Offset 80 + x"FF", -- Addr 6993, Offset 81 + x"E9", -- Addr 6994, Offset 82 + x"E0", -- Addr 6995, Offset 83 + x"E4", -- Addr 6996, Offset 84 + x"F6", -- Addr 6997, Offset 85 + x"FF", -- Addr 6998, Offset 86 + x"93", -- Addr 6999, Offset 87 + x"07", -- Addr 7000, Offset 88 + x"4F", -- Addr 7001, Offset 89 + x"FF", -- Addr 7002, Offset 90 + x"FF", -- Addr 7003, Offset 91 + x"FF", -- Addr 7004, Offset 92 + x"FF", -- Addr 7005, Offset 93 + x"FF", -- Addr 7006, Offset 94 + x"FF", -- Addr 7007, Offset 95 + x"FF", -- Addr 7008, Offset 96 + x"ED", -- Addr 7009, Offset 97 + x"E0", -- Addr 7010, Offset 98 + x"E0", -- Addr 7011, Offset 99 + x"F2", -- Addr 7012, Offset 100 + x"FF", -- Addr 7013, Offset 101 + x"DB", -- Addr 7014, Offset 102 + x"2B", -- Addr 7015, Offset 103 + x"07", -- Addr 7016, Offset 104 + x"2B", -- Addr 7017, Offset 105 + x"FF", -- Addr 7018, Offset 106 + x"FF", -- Addr 7019, Offset 107 + x"FF", -- Addr 7020, Offset 108 + x"BE", -- Addr 7021, Offset 109 + x"BE", -- Addr 7022, Offset 110 + x"FF", -- Addr 7023, Offset 111 + x"FA", -- Addr 7024, Offset 112 + x"E4", -- Addr 7025, Offset 113 + x"E0", -- Addr 7026, Offset 114 + x"E9", -- Addr 7027, Offset 115 + x"FB", -- Addr 7028, Offset 116 + x"DB", -- Addr 7029, Offset 117 + x"4F", -- Addr 7030, Offset 118 + x"07", -- Addr 7031, Offset 119 + x"07", -- Addr 7032, Offset 120 + x"B7", -- Addr 7033, Offset 121 + x"FF", -- Addr 7034, Offset 122 + x"FF", -- Addr 7035, Offset 123 + x"DE", -- Addr 7036, Offset 124 + x"5C", -- Addr 7037, Offset 125 + x"5C", -- Addr 7038, Offset 126 + x"DE", -- Addr 7039, Offset 127 + x"FF", -- Addr 7040, Offset 128 + x"ED", -- Addr 7041, Offset 129 + x"E9", -- Addr 7042, Offset 130 + x"FB", -- Addr 7043, Offset 131 + x"FF", -- Addr 7044, Offset 132 + x"73", -- Addr 7045, Offset 133 + x"07", -- Addr 7046, Offset 134 + x"2B", -- Addr 7047, Offset 135 + x"97", -- Addr 7048, Offset 136 + x"FF", -- Addr 7049, Offset 137 + x"FF", -- Addr 7050, Offset 138 + x"FF", -- Addr 7051, Offset 139 + x"7D", -- Addr 7052, Offset 140 + x"5C", -- Addr 7053, Offset 141 + x"5C", -- Addr 7054, Offset 142 + x"DE", -- Addr 7055, Offset 143 + x"FF", -- Addr 7056, Offset 144 + x"FF", -- Addr 7057, Offset 145 + x"FF", -- Addr 7058, Offset 146 + x"FF", -- Addr 7059, Offset 147 + x"DB", -- Addr 7060, Offset 148 + x"4F", -- Addr 7061, Offset 149 + x"2B", -- Addr 7062, Offset 150 + x"DB", -- Addr 7063, Offset 151 + x"FF", -- Addr 7064, Offset 152 + x"FF", -- Addr 7065, Offset 153 + x"FF", -- Addr 7066, Offset 154 + x"BE", -- Addr 7067, Offset 155 + x"5C", -- Addr 7068, Offset 156 + x"5C", -- Addr 7069, Offset 157 + x"9D", -- Addr 7070, Offset 158 + x"FF", -- Addr 7071, Offset 159 + x"FF", -- Addr 7072, Offset 160 + x"FF", -- Addr 7073, Offset 161 + x"FF", -- Addr 7074, Offset 162 + x"FF", -- Addr 7075, Offset 163 + x"93", -- Addr 7076, Offset 164 + x"07", -- Addr 7077, Offset 165 + x"4F", -- Addr 7078, Offset 166 + x"FF", -- Addr 7079, Offset 167 + x"FF", -- Addr 7080, Offset 168 + x"FF", -- Addr 7081, Offset 169 + x"BE", -- Addr 7082, Offset 170 + x"7C", -- Addr 7083, Offset 171 + x"5C", -- Addr 7084, Offset 172 + x"9D", -- Addr 7085, Offset 173 + x"FF", -- Addr 7086, Offset 174 + x"FF", -- Addr 7087, Offset 175 + x"FF", -- Addr 7088, Offset 176 + x"FF", -- Addr 7089, Offset 177 + x"FF", -- Addr 7090, Offset 178 + x"B7", -- Addr 7091, Offset 179 + x"07", -- Addr 7092, Offset 180 + x"07", -- Addr 7093, Offset 181 + x"DB", -- Addr 7094, Offset 182 + x"FF", -- Addr 7095, Offset 183 + x"FF", -- Addr 7096, Offset 184 + x"DE", -- Addr 7097, Offset 185 + x"5C", -- Addr 7098, Offset 186 + x"5C", -- Addr 7099, Offset 187 + x"7D", -- Addr 7100, Offset 188 + x"FF", -- Addr 7101, Offset 189 + x"FF", -- Addr 7102, Offset 190 + x"FF", -- Addr 7103, Offset 191 + x"FF", -- Addr 7104, Offset 192 + x"FF", -- Addr 7105, Offset 193 + x"FF", -- Addr 7106, Offset 194 + x"2B", -- Addr 7107, Offset 195 + x"07", -- Addr 7108, Offset 196 + x"93", -- Addr 7109, Offset 197 + x"FF", -- Addr 7110, Offset 198 + x"FF", -- Addr 7111, Offset 199 + x"DF", -- Addr 7112, Offset 200 + x"7C", -- Addr 7113, Offset 201 + x"5C", -- Addr 7114, Offset 202 + x"9D", -- Addr 7115, Offset 203 + x"FF", -- Addr 7116, Offset 204 + x"FF", -- Addr 7117, Offset 205 + x"FF", -- Addr 7118, Offset 206 + x"FF", -- Addr 7119, Offset 207 + x"FF", -- Addr 7120, Offset 208 + x"FF", -- Addr 7121, Offset 209 + x"FF", -- Addr 7122, Offset 210 + x"B7", -- Addr 7123, Offset 211 + x"73", -- Addr 7124, Offset 212 + x"FF", -- Addr 7125, Offset 213 + x"FF", -- Addr 7126, Offset 214 + x"FF", -- Addr 7127, Offset 215 + x"9D", -- Addr 7128, Offset 216 + x"5C", -- Addr 7129, Offset 217 + x"9D", -- Addr 7130, Offset 218 + x"FF", -- Addr 7131, Offset 219 + x"FF", -- Addr 7132, Offset 220 + x"FF", -- Addr 7133, Offset 221 + x"FF", -- Addr 7134, Offset 222 + x"FF", -- Addr 7135, Offset 223 + x"FF", -- Addr 7136, Offset 224 + x"FF", -- Addr 7137, Offset 225 + x"FF", -- Addr 7138, Offset 226 + x"FF", -- Addr 7139, Offset 227 + x"FF", -- Addr 7140, Offset 228 + x"FF", -- Addr 7141, Offset 229 + x"FF", -- Addr 7142, Offset 230 + x"FF", -- Addr 7143, Offset 231 + x"DF", -- Addr 7144, Offset 232 + x"9D", -- Addr 7145, Offset 233 + x"DF", -- Addr 7146, Offset 234 + x"FF", -- Addr 7147, Offset 235 + x"FF", -- Addr 7148, Offset 236 + x"FF", -- Addr 7149, Offset 237 + x"FF", -- Addr 7150, Offset 238 + x"FF", -- Addr 7151, Offset 239 + x"FF", -- Addr 7152, Offset 240 + x"FF", -- Addr 7153, Offset 241 + x"FF", -- Addr 7154, Offset 242 + x"FF", -- Addr 7155, Offset 243 + x"FF", -- Addr 7156, Offset 244 + x"FF", -- Addr 7157, Offset 245 + x"FF", -- Addr 7158, Offset 246 + x"FF", -- Addr 7159, Offset 247 + x"FF", -- Addr 7160, Offset 248 + x"FF", -- Addr 7161, Offset 249 + x"FF", -- Addr 7162, Offset 250 + x"FF", -- Addr 7163, Offset 251 + x"FF", -- Addr 7164, Offset 252 + x"FF", -- Addr 7165, Offset 253 + x"FF", -- Addr 7166, Offset 254 + x"FF", -- Addr 7167, Offset 255 + + -- Sprite: 28, Basis-Adresse: 7168 + x"FF", -- Addr 7168, Offset 0 + x"FF", -- Addr 7169, Offset 1 + x"FF", -- Addr 7170, Offset 2 + x"FF", -- Addr 7171, Offset 3 + x"FF", -- Addr 7172, Offset 4 + x"FF", -- Addr 7173, Offset 5 + x"FF", -- Addr 7174, Offset 6 + x"FF", -- Addr 7175, Offset 7 + x"FF", -- Addr 7176, Offset 8 + x"FF", -- Addr 7177, Offset 9 + x"FF", -- Addr 7178, Offset 10 + x"FF", -- Addr 7179, Offset 11 + x"FF", -- Addr 7180, Offset 12 + x"FF", -- Addr 7181, Offset 13 + x"FF", -- Addr 7182, Offset 14 + x"FF", -- Addr 7183, Offset 15 + x"FF", -- Addr 7184, Offset 16 + x"FF", -- Addr 7185, Offset 17 + x"FF", -- Addr 7186, Offset 18 + x"FF", -- Addr 7187, Offset 19 + x"FF", -- Addr 7188, Offset 20 + x"FF", -- Addr 7189, Offset 21 + x"FF", -- Addr 7190, Offset 22 + x"FF", -- Addr 7191, Offset 23 + x"FF", -- Addr 7192, Offset 24 + x"FF", -- Addr 7193, Offset 25 + x"FF", -- Addr 7194, Offset 26 + x"FF", -- Addr 7195, Offset 27 + x"FF", -- Addr 7196, Offset 28 + x"FF", -- Addr 7197, Offset 29 + x"FF", -- Addr 7198, Offset 30 + x"FF", -- Addr 7199, Offset 31 + x"FF", -- Addr 7200, Offset 32 + x"FF", -- Addr 7201, Offset 33 + x"FF", -- Addr 7202, Offset 34 + x"FF", -- Addr 7203, Offset 35 + x"F2", -- Addr 7204, Offset 36 + x"F2", -- Addr 7205, Offset 37 + x"FF", -- Addr 7206, Offset 38 + x"FF", -- Addr 7207, Offset 39 + x"FF", -- Addr 7208, Offset 40 + x"FF", -- Addr 7209, Offset 41 + x"FF", -- Addr 7210, Offset 42 + x"FF", -- Addr 7211, Offset 43 + x"FF", -- Addr 7212, Offset 44 + x"FF", -- Addr 7213, Offset 45 + x"FF", -- Addr 7214, Offset 46 + x"FF", -- Addr 7215, Offset 47 + x"FF", -- Addr 7216, Offset 48 + x"FF", -- Addr 7217, Offset 49 + x"FF", -- Addr 7218, Offset 50 + x"F6", -- Addr 7219, Offset 51 + x"E0", -- Addr 7220, Offset 52 + x"E4", -- Addr 7221, Offset 53 + x"FB", -- Addr 7222, Offset 54 + x"FF", -- Addr 7223, Offset 55 + x"FF", -- Addr 7224, Offset 56 + x"FF", -- Addr 7225, Offset 57 + x"FF", -- Addr 7226, Offset 58 + x"FF", -- Addr 7227, Offset 59 + x"FF", -- Addr 7228, Offset 60 + x"FF", -- Addr 7229, Offset 61 + x"FF", -- Addr 7230, Offset 62 + x"FF", -- Addr 7231, Offset 63 + x"FF", -- Addr 7232, Offset 64 + x"FF", -- Addr 7233, Offset 65 + x"FB", -- Addr 7234, Offset 66 + x"E0", -- Addr 7235, Offset 67 + x"E0", -- Addr 7236, Offset 68 + x"E5", -- Addr 7237, Offset 69 + x"FB", -- Addr 7238, Offset 70 + x"FF", -- Addr 7239, Offset 71 + x"93", -- Addr 7240, Offset 72 + x"DB", -- Addr 7241, Offset 73 + x"FF", -- Addr 7242, Offset 74 + x"FF", -- Addr 7243, Offset 75 + x"FF", -- Addr 7244, Offset 76 + x"FF", -- Addr 7245, Offset 77 + x"FF", -- Addr 7246, Offset 78 + x"FF", -- Addr 7247, Offset 79 + x"FF", -- Addr 7248, Offset 80 + x"FF", -- Addr 7249, Offset 81 + x"E9", -- Addr 7250, Offset 82 + x"E0", -- Addr 7251, Offset 83 + x"E4", -- Addr 7252, Offset 84 + x"F6", -- Addr 7253, Offset 85 + x"FF", -- Addr 7254, Offset 86 + x"93", -- Addr 7255, Offset 87 + x"07", -- Addr 7256, Offset 88 + x"4F", -- Addr 7257, Offset 89 + x"FF", -- Addr 7258, Offset 90 + x"FF", -- Addr 7259, Offset 91 + x"FF", -- Addr 7260, Offset 92 + x"FF", -- Addr 7261, Offset 93 + x"FF", -- Addr 7262, Offset 94 + x"FF", -- Addr 7263, Offset 95 + x"FF", -- Addr 7264, Offset 96 + x"ED", -- Addr 7265, Offset 97 + x"E0", -- Addr 7266, Offset 98 + x"E0", -- Addr 7267, Offset 99 + x"F2", -- Addr 7268, Offset 100 + x"FF", -- Addr 7269, Offset 101 + x"DB", -- Addr 7270, Offset 102 + x"2B", -- Addr 7271, Offset 103 + x"07", -- Addr 7272, Offset 104 + x"2B", -- Addr 7273, Offset 105 + x"FF", -- Addr 7274, Offset 106 + x"FF", -- Addr 7275, Offset 107 + x"FF", -- Addr 7276, Offset 108 + x"BE", -- Addr 7277, Offset 109 + x"BE", -- Addr 7278, Offset 110 + x"FF", -- Addr 7279, Offset 111 + x"FA", -- Addr 7280, Offset 112 + x"E4", -- Addr 7281, Offset 113 + x"E0", -- Addr 7282, Offset 114 + x"E9", -- Addr 7283, Offset 115 + x"FB", -- Addr 7284, Offset 116 + x"DB", -- Addr 7285, Offset 117 + x"4F", -- Addr 7286, Offset 118 + x"07", -- Addr 7287, Offset 119 + x"07", -- Addr 7288, Offset 120 + x"B7", -- Addr 7289, Offset 121 + x"FF", -- Addr 7290, Offset 122 + x"FF", -- Addr 7291, Offset 123 + x"DE", -- Addr 7292, Offset 124 + x"5C", -- Addr 7293, Offset 125 + x"5C", -- Addr 7294, Offset 126 + x"DE", -- Addr 7295, Offset 127 + x"FF", -- Addr 7296, Offset 128 + x"ED", -- Addr 7297, Offset 129 + x"E9", -- Addr 7298, Offset 130 + x"FB", -- Addr 7299, Offset 131 + x"FF", -- Addr 7300, Offset 132 + x"73", -- Addr 7301, Offset 133 + x"07", -- Addr 7302, Offset 134 + x"2B", -- Addr 7303, Offset 135 + x"97", -- Addr 7304, Offset 136 + x"FF", -- Addr 7305, Offset 137 + x"FF", -- Addr 7306, Offset 138 + x"FF", -- Addr 7307, Offset 139 + x"7D", -- Addr 7308, Offset 140 + x"5C", -- Addr 7309, Offset 141 + x"5C", -- Addr 7310, Offset 142 + x"DE", -- Addr 7311, Offset 143 + x"FF", -- Addr 7312, Offset 144 + x"FF", -- Addr 7313, Offset 145 + x"FF", -- Addr 7314, Offset 146 + x"FF", -- Addr 7315, Offset 147 + x"DB", -- Addr 7316, Offset 148 + x"4F", -- Addr 7317, Offset 149 + x"2B", -- Addr 7318, Offset 150 + x"DB", -- Addr 7319, Offset 151 + x"FF", -- Addr 7320, Offset 152 + x"FF", -- Addr 7321, Offset 153 + x"FF", -- Addr 7322, Offset 154 + x"BE", -- Addr 7323, Offset 155 + x"5C", -- Addr 7324, Offset 156 + x"5C", -- Addr 7325, Offset 157 + x"9D", -- Addr 7326, Offset 158 + x"FF", -- Addr 7327, Offset 159 + x"FF", -- Addr 7328, Offset 160 + x"FF", -- Addr 7329, Offset 161 + x"FF", -- Addr 7330, Offset 162 + x"FF", -- Addr 7331, Offset 163 + x"93", -- Addr 7332, Offset 164 + x"07", -- Addr 7333, Offset 165 + x"4F", -- Addr 7334, Offset 166 + x"FF", -- Addr 7335, Offset 167 + x"FF", -- Addr 7336, Offset 168 + x"FF", -- Addr 7337, Offset 169 + x"BE", -- Addr 7338, Offset 170 + x"7C", -- Addr 7339, Offset 171 + x"5C", -- Addr 7340, Offset 172 + x"9D", -- Addr 7341, Offset 173 + x"FF", -- Addr 7342, Offset 174 + x"FF", -- Addr 7343, Offset 175 + x"FF", -- Addr 7344, Offset 176 + x"FF", -- Addr 7345, Offset 177 + x"FF", -- Addr 7346, Offset 178 + x"B7", -- Addr 7347, Offset 179 + x"07", -- Addr 7348, Offset 180 + x"07", -- Addr 7349, Offset 181 + x"DB", -- Addr 7350, Offset 182 + x"FF", -- Addr 7351, Offset 183 + x"FF", -- Addr 7352, Offset 184 + x"DE", -- Addr 7353, Offset 185 + x"5C", -- Addr 7354, Offset 186 + x"5C", -- Addr 7355, Offset 187 + x"7D", -- Addr 7356, Offset 188 + x"FF", -- Addr 7357, Offset 189 + x"FF", -- Addr 7358, Offset 190 + x"FF", -- Addr 7359, Offset 191 + x"FF", -- Addr 7360, Offset 192 + x"FF", -- Addr 7361, Offset 193 + x"FF", -- Addr 7362, Offset 194 + x"2B", -- Addr 7363, Offset 195 + x"07", -- Addr 7364, Offset 196 + x"93", -- Addr 7365, Offset 197 + x"FF", -- Addr 7366, Offset 198 + x"FF", -- Addr 7367, Offset 199 + x"DF", -- Addr 7368, Offset 200 + x"7C", -- Addr 7369, Offset 201 + x"5C", -- Addr 7370, Offset 202 + x"9D", -- Addr 7371, Offset 203 + x"FF", -- Addr 7372, Offset 204 + x"FF", -- Addr 7373, Offset 205 + x"FF", -- Addr 7374, Offset 206 + x"FF", -- Addr 7375, Offset 207 + x"FF", -- Addr 7376, Offset 208 + x"FF", -- Addr 7377, Offset 209 + x"FF", -- Addr 7378, Offset 210 + x"B7", -- Addr 7379, Offset 211 + x"73", -- Addr 7380, Offset 212 + x"FF", -- Addr 7381, Offset 213 + x"FF", -- Addr 7382, Offset 214 + x"FF", -- Addr 7383, Offset 215 + x"9D", -- Addr 7384, Offset 216 + x"5C", -- Addr 7385, Offset 217 + x"9D", -- Addr 7386, Offset 218 + x"FF", -- Addr 7387, Offset 219 + x"FF", -- Addr 7388, Offset 220 + x"FF", -- Addr 7389, Offset 221 + x"FF", -- Addr 7390, Offset 222 + x"FF", -- Addr 7391, Offset 223 + x"FF", -- Addr 7392, Offset 224 + x"FF", -- Addr 7393, Offset 225 + x"FF", -- Addr 7394, Offset 226 + x"FF", -- Addr 7395, Offset 227 + x"FF", -- Addr 7396, Offset 228 + x"FF", -- Addr 7397, Offset 229 + x"FF", -- Addr 7398, Offset 230 + x"FF", -- Addr 7399, Offset 231 + x"DF", -- Addr 7400, Offset 232 + x"9D", -- Addr 7401, Offset 233 + x"DF", -- Addr 7402, Offset 234 + x"FF", -- Addr 7403, Offset 235 + x"FF", -- Addr 7404, Offset 236 + x"FF", -- Addr 7405, Offset 237 + x"FF", -- Addr 7406, Offset 238 + x"FF", -- Addr 7407, Offset 239 + x"FF", -- Addr 7408, Offset 240 + x"FF", -- Addr 7409, Offset 241 + x"FF", -- Addr 7410, Offset 242 + x"FF", -- Addr 7411, Offset 243 + x"FF", -- Addr 7412, Offset 244 + x"FF", -- Addr 7413, Offset 245 + x"FF", -- Addr 7414, Offset 246 + x"FF", -- Addr 7415, Offset 247 + x"FF", -- Addr 7416, Offset 248 + x"FF", -- Addr 7417, Offset 249 + x"FF", -- Addr 7418, Offset 250 + x"FF", -- Addr 7419, Offset 251 + x"FF", -- Addr 7420, Offset 252 + x"FF", -- Addr 7421, Offset 253 + x"FF", -- Addr 7422, Offset 254 + x"FF", -- Addr 7423, Offset 255 + + -- Sprite: 29, Basis-Adresse: 7424 + x"FF", -- Addr 7424, Offset 0 + x"FF", -- Addr 7425, Offset 1 + x"FF", -- Addr 7426, Offset 2 + x"FF", -- Addr 7427, Offset 3 + x"FF", -- Addr 7428, Offset 4 + x"FF", -- Addr 7429, Offset 5 + x"FF", -- Addr 7430, Offset 6 + x"FF", -- Addr 7431, Offset 7 + x"FF", -- Addr 7432, Offset 8 + x"FF", -- Addr 7433, Offset 9 + x"FF", -- Addr 7434, Offset 10 + x"FF", -- Addr 7435, Offset 11 + x"FF", -- Addr 7436, Offset 12 + x"FF", -- Addr 7437, Offset 13 + x"FF", -- Addr 7438, Offset 14 + x"FF", -- Addr 7439, Offset 15 + x"FF", -- Addr 7440, Offset 16 + x"FF", -- Addr 7441, Offset 17 + x"FF", -- Addr 7442, Offset 18 + x"FF", -- Addr 7443, Offset 19 + x"FF", -- Addr 7444, Offset 20 + x"FF", -- Addr 7445, Offset 21 + x"FF", -- Addr 7446, Offset 22 + x"FF", -- Addr 7447, Offset 23 + x"FF", -- Addr 7448, Offset 24 + x"FF", -- Addr 7449, Offset 25 + x"FF", -- Addr 7450, Offset 26 + x"FF", -- Addr 7451, Offset 27 + x"FF", -- Addr 7452, Offset 28 + x"FF", -- Addr 7453, Offset 29 + x"FF", -- Addr 7454, Offset 30 + x"FF", -- Addr 7455, Offset 31 + x"FF", -- Addr 7456, Offset 32 + x"FF", -- Addr 7457, Offset 33 + x"FF", -- Addr 7458, Offset 34 + x"FF", -- Addr 7459, Offset 35 + x"F2", -- Addr 7460, Offset 36 + x"F2", -- Addr 7461, Offset 37 + x"FF", -- Addr 7462, Offset 38 + x"FF", -- Addr 7463, Offset 39 + x"FF", -- Addr 7464, Offset 40 + x"FF", -- Addr 7465, Offset 41 + x"FF", -- Addr 7466, Offset 42 + x"FF", -- Addr 7467, Offset 43 + x"FF", -- Addr 7468, Offset 44 + x"FF", -- Addr 7469, Offset 45 + x"FF", -- Addr 7470, Offset 46 + x"FF", -- Addr 7471, Offset 47 + x"FF", -- Addr 7472, Offset 48 + x"FF", -- Addr 7473, Offset 49 + x"FF", -- Addr 7474, Offset 50 + x"F6", -- Addr 7475, Offset 51 + x"E0", -- Addr 7476, Offset 52 + x"E4", -- Addr 7477, Offset 53 + x"FB", -- Addr 7478, Offset 54 + x"FF", -- Addr 7479, Offset 55 + x"FF", -- Addr 7480, Offset 56 + x"FF", -- Addr 7481, Offset 57 + x"FF", -- Addr 7482, Offset 58 + x"FF", -- Addr 7483, Offset 59 + x"FF", -- Addr 7484, Offset 60 + x"FF", -- Addr 7485, Offset 61 + x"FF", -- Addr 7486, Offset 62 + x"FF", -- Addr 7487, Offset 63 + x"FF", -- Addr 7488, Offset 64 + x"FF", -- Addr 7489, Offset 65 + x"FB", -- Addr 7490, Offset 66 + x"E0", -- Addr 7491, Offset 67 + x"E0", -- Addr 7492, Offset 68 + x"E5", -- Addr 7493, Offset 69 + x"FB", -- Addr 7494, Offset 70 + x"FF", -- Addr 7495, Offset 71 + x"93", -- Addr 7496, Offset 72 + x"DB", -- Addr 7497, Offset 73 + x"FF", -- Addr 7498, Offset 74 + x"FF", -- Addr 7499, Offset 75 + x"FF", -- Addr 7500, Offset 76 + x"FF", -- Addr 7501, Offset 77 + x"FF", -- Addr 7502, Offset 78 + x"FF", -- Addr 7503, Offset 79 + x"FF", -- Addr 7504, Offset 80 + x"FF", -- Addr 7505, Offset 81 + x"E9", -- Addr 7506, Offset 82 + x"E0", -- Addr 7507, Offset 83 + x"E4", -- Addr 7508, Offset 84 + x"F6", -- Addr 7509, Offset 85 + x"FF", -- Addr 7510, Offset 86 + x"93", -- Addr 7511, Offset 87 + x"07", -- Addr 7512, Offset 88 + x"4F", -- Addr 7513, Offset 89 + x"FF", -- Addr 7514, Offset 90 + x"FF", -- Addr 7515, Offset 91 + x"FF", -- Addr 7516, Offset 92 + x"FF", -- Addr 7517, Offset 93 + x"FF", -- Addr 7518, Offset 94 + x"FF", -- Addr 7519, Offset 95 + x"FF", -- Addr 7520, Offset 96 + x"ED", -- Addr 7521, Offset 97 + x"E0", -- Addr 7522, Offset 98 + x"E0", -- Addr 7523, Offset 99 + x"F2", -- Addr 7524, Offset 100 + x"FF", -- Addr 7525, Offset 101 + x"DB", -- Addr 7526, Offset 102 + x"2B", -- Addr 7527, Offset 103 + x"07", -- Addr 7528, Offset 104 + x"2B", -- Addr 7529, Offset 105 + x"FF", -- Addr 7530, Offset 106 + x"FF", -- Addr 7531, Offset 107 + x"FF", -- Addr 7532, Offset 108 + x"BE", -- Addr 7533, Offset 109 + x"BE", -- Addr 7534, Offset 110 + x"FF", -- Addr 7535, Offset 111 + x"FA", -- Addr 7536, Offset 112 + x"E4", -- Addr 7537, Offset 113 + x"E0", -- Addr 7538, Offset 114 + x"E9", -- Addr 7539, Offset 115 + x"FB", -- Addr 7540, Offset 116 + x"DB", -- Addr 7541, Offset 117 + x"4F", -- Addr 7542, Offset 118 + x"07", -- Addr 7543, Offset 119 + x"07", -- Addr 7544, Offset 120 + x"B7", -- Addr 7545, Offset 121 + x"FF", -- Addr 7546, Offset 122 + x"FF", -- Addr 7547, Offset 123 + x"DE", -- Addr 7548, Offset 124 + x"5C", -- Addr 7549, Offset 125 + x"5C", -- Addr 7550, Offset 126 + x"DE", -- Addr 7551, Offset 127 + x"FF", -- Addr 7552, Offset 128 + x"ED", -- Addr 7553, Offset 129 + x"E9", -- Addr 7554, Offset 130 + x"FB", -- Addr 7555, Offset 131 + x"FF", -- Addr 7556, Offset 132 + x"73", -- Addr 7557, Offset 133 + x"07", -- Addr 7558, Offset 134 + x"2B", -- Addr 7559, Offset 135 + x"97", -- Addr 7560, Offset 136 + x"FF", -- Addr 7561, Offset 137 + x"FF", -- Addr 7562, Offset 138 + x"FF", -- Addr 7563, Offset 139 + x"7D", -- Addr 7564, Offset 140 + x"5C", -- Addr 7565, Offset 141 + x"5C", -- Addr 7566, Offset 142 + x"DE", -- Addr 7567, Offset 143 + x"FF", -- Addr 7568, Offset 144 + x"FF", -- Addr 7569, Offset 145 + x"FF", -- Addr 7570, Offset 146 + x"FF", -- Addr 7571, Offset 147 + x"DB", -- Addr 7572, Offset 148 + x"4F", -- Addr 7573, Offset 149 + x"2B", -- Addr 7574, Offset 150 + x"DB", -- Addr 7575, Offset 151 + x"FF", -- Addr 7576, Offset 152 + x"FF", -- Addr 7577, Offset 153 + x"FF", -- Addr 7578, Offset 154 + x"BE", -- Addr 7579, Offset 155 + x"5C", -- Addr 7580, Offset 156 + x"5C", -- Addr 7581, Offset 157 + x"9D", -- Addr 7582, Offset 158 + x"FF", -- Addr 7583, Offset 159 + x"FF", -- Addr 7584, Offset 160 + x"FF", -- Addr 7585, Offset 161 + x"FF", -- Addr 7586, Offset 162 + x"FF", -- Addr 7587, Offset 163 + x"93", -- Addr 7588, Offset 164 + x"07", -- Addr 7589, Offset 165 + x"4F", -- Addr 7590, Offset 166 + x"FF", -- Addr 7591, Offset 167 + x"FF", -- Addr 7592, Offset 168 + x"FF", -- Addr 7593, Offset 169 + x"BE", -- Addr 7594, Offset 170 + x"7C", -- Addr 7595, Offset 171 + x"5C", -- Addr 7596, Offset 172 + x"9D", -- Addr 7597, Offset 173 + x"FF", -- Addr 7598, Offset 174 + x"FF", -- Addr 7599, Offset 175 + x"FF", -- Addr 7600, Offset 176 + x"FF", -- Addr 7601, Offset 177 + x"FF", -- Addr 7602, Offset 178 + x"B7", -- Addr 7603, Offset 179 + x"07", -- Addr 7604, Offset 180 + x"07", -- Addr 7605, Offset 181 + x"DB", -- Addr 7606, Offset 182 + x"FF", -- Addr 7607, Offset 183 + x"FF", -- Addr 7608, Offset 184 + x"DE", -- Addr 7609, Offset 185 + x"5C", -- Addr 7610, Offset 186 + x"5C", -- Addr 7611, Offset 187 + x"7D", -- Addr 7612, Offset 188 + x"FF", -- Addr 7613, Offset 189 + x"FF", -- Addr 7614, Offset 190 + x"FF", -- Addr 7615, Offset 191 + x"FF", -- Addr 7616, Offset 192 + x"FF", -- Addr 7617, Offset 193 + x"FF", -- Addr 7618, Offset 194 + x"2B", -- Addr 7619, Offset 195 + x"07", -- Addr 7620, Offset 196 + x"93", -- Addr 7621, Offset 197 + x"FF", -- Addr 7622, Offset 198 + x"FF", -- Addr 7623, Offset 199 + x"DF", -- Addr 7624, Offset 200 + x"7C", -- Addr 7625, Offset 201 + x"5C", -- Addr 7626, Offset 202 + x"9D", -- Addr 7627, Offset 203 + x"FF", -- Addr 7628, Offset 204 + x"FF", -- Addr 7629, Offset 205 + x"FF", -- Addr 7630, Offset 206 + x"FF", -- Addr 7631, Offset 207 + x"FF", -- Addr 7632, Offset 208 + x"FF", -- Addr 7633, Offset 209 + x"FF", -- Addr 7634, Offset 210 + x"B7", -- Addr 7635, Offset 211 + x"73", -- Addr 7636, Offset 212 + x"FF", -- Addr 7637, Offset 213 + x"FF", -- Addr 7638, Offset 214 + x"FF", -- Addr 7639, Offset 215 + x"9D", -- Addr 7640, Offset 216 + x"5C", -- Addr 7641, Offset 217 + x"9D", -- Addr 7642, Offset 218 + x"FF", -- Addr 7643, Offset 219 + x"FF", -- Addr 7644, Offset 220 + x"FF", -- Addr 7645, Offset 221 + x"FF", -- Addr 7646, Offset 222 + x"FF", -- Addr 7647, Offset 223 + x"FF", -- Addr 7648, Offset 224 + x"FF", -- Addr 7649, Offset 225 + x"FF", -- Addr 7650, Offset 226 + x"FF", -- Addr 7651, Offset 227 + x"FF", -- Addr 7652, Offset 228 + x"FF", -- Addr 7653, Offset 229 + x"FF", -- Addr 7654, Offset 230 + x"FF", -- Addr 7655, Offset 231 + x"DF", -- Addr 7656, Offset 232 + x"9D", -- Addr 7657, Offset 233 + x"DF", -- Addr 7658, Offset 234 + x"FF", -- Addr 7659, Offset 235 + x"FF", -- Addr 7660, Offset 236 + x"FF", -- Addr 7661, Offset 237 + x"FF", -- Addr 7662, Offset 238 + x"FF", -- Addr 7663, Offset 239 + x"FF", -- Addr 7664, Offset 240 + x"FF", -- Addr 7665, Offset 241 + x"FF", -- Addr 7666, Offset 242 + x"FF", -- Addr 7667, Offset 243 + x"FF", -- Addr 7668, Offset 244 + x"FF", -- Addr 7669, Offset 245 + x"FF", -- Addr 7670, Offset 246 + x"FF", -- Addr 7671, Offset 247 + x"FF", -- Addr 7672, Offset 248 + x"FF", -- Addr 7673, Offset 249 + x"FF", -- Addr 7674, Offset 250 + x"FF", -- Addr 7675, Offset 251 + x"FF", -- Addr 7676, Offset 252 + x"FF", -- Addr 7677, Offset 253 + x"FF", -- Addr 7678, Offset 254 + x"FF", -- Addr 7679, Offset 255 + + -- Sprite: 30, Basis-Adresse: 7680 + x"FF", -- Addr 7680, Offset 0 + x"FF", -- Addr 7681, Offset 1 + x"FF", -- Addr 7682, Offset 2 + x"FF", -- Addr 7683, Offset 3 + x"FF", -- Addr 7684, Offset 4 + x"FF", -- Addr 7685, Offset 5 + x"FF", -- Addr 7686, Offset 6 + x"FF", -- Addr 7687, Offset 7 + x"FF", -- Addr 7688, Offset 8 + x"FF", -- Addr 7689, Offset 9 + x"FF", -- Addr 7690, Offset 10 + x"FF", -- Addr 7691, Offset 11 + x"FF", -- Addr 7692, Offset 12 + x"FF", -- Addr 7693, Offset 13 + x"FF", -- Addr 7694, Offset 14 + x"FF", -- Addr 7695, Offset 15 + x"FF", -- Addr 7696, Offset 16 + x"FF", -- Addr 7697, Offset 17 + x"FF", -- Addr 7698, Offset 18 + x"FF", -- Addr 7699, Offset 19 + x"FF", -- Addr 7700, Offset 20 + x"FF", -- Addr 7701, Offset 21 + x"FF", -- Addr 7702, Offset 22 + x"FF", -- Addr 7703, Offset 23 + x"FF", -- Addr 7704, Offset 24 + x"FF", -- Addr 7705, Offset 25 + x"FF", -- Addr 7706, Offset 26 + x"FF", -- Addr 7707, Offset 27 + x"FF", -- Addr 7708, Offset 28 + x"FF", -- Addr 7709, Offset 29 + x"FF", -- Addr 7710, Offset 30 + x"FF", -- Addr 7711, Offset 31 + x"FF", -- Addr 7712, Offset 32 + x"FF", -- Addr 7713, Offset 33 + x"FF", -- Addr 7714, Offset 34 + x"FF", -- Addr 7715, Offset 35 + x"F2", -- Addr 7716, Offset 36 + x"F2", -- Addr 7717, Offset 37 + x"FF", -- Addr 7718, Offset 38 + x"FF", -- Addr 7719, Offset 39 + x"FF", -- Addr 7720, Offset 40 + x"FF", -- Addr 7721, Offset 41 + x"FF", -- Addr 7722, Offset 42 + x"FF", -- Addr 7723, Offset 43 + x"FF", -- Addr 7724, Offset 44 + x"FF", -- Addr 7725, Offset 45 + x"FF", -- Addr 7726, Offset 46 + x"FF", -- Addr 7727, Offset 47 + x"FF", -- Addr 7728, Offset 48 + x"FF", -- Addr 7729, Offset 49 + x"FF", -- Addr 7730, Offset 50 + x"F6", -- Addr 7731, Offset 51 + x"E0", -- Addr 7732, Offset 52 + x"E4", -- Addr 7733, Offset 53 + x"FB", -- Addr 7734, Offset 54 + x"FF", -- Addr 7735, Offset 55 + x"FF", -- Addr 7736, Offset 56 + x"FF", -- Addr 7737, Offset 57 + x"FF", -- Addr 7738, Offset 58 + x"FF", -- Addr 7739, Offset 59 + x"FF", -- Addr 7740, Offset 60 + x"FF", -- Addr 7741, Offset 61 + x"FF", -- Addr 7742, Offset 62 + x"FF", -- Addr 7743, Offset 63 + x"FF", -- Addr 7744, Offset 64 + x"FF", -- Addr 7745, Offset 65 + x"FB", -- Addr 7746, Offset 66 + x"E0", -- Addr 7747, Offset 67 + x"E0", -- Addr 7748, Offset 68 + x"E5", -- Addr 7749, Offset 69 + x"FB", -- Addr 7750, Offset 70 + x"FF", -- Addr 7751, Offset 71 + x"93", -- Addr 7752, Offset 72 + x"DB", -- Addr 7753, Offset 73 + x"FF", -- Addr 7754, Offset 74 + x"FF", -- Addr 7755, Offset 75 + x"FF", -- Addr 7756, Offset 76 + x"FF", -- Addr 7757, Offset 77 + x"FF", -- Addr 7758, Offset 78 + x"FF", -- Addr 7759, Offset 79 + x"FF", -- Addr 7760, Offset 80 + x"FF", -- Addr 7761, Offset 81 + x"E9", -- Addr 7762, Offset 82 + x"E0", -- Addr 7763, Offset 83 + x"E4", -- Addr 7764, Offset 84 + x"F6", -- Addr 7765, Offset 85 + x"FF", -- Addr 7766, Offset 86 + x"93", -- Addr 7767, Offset 87 + x"07", -- Addr 7768, Offset 88 + x"4F", -- Addr 7769, Offset 89 + x"FF", -- Addr 7770, Offset 90 + x"FF", -- Addr 7771, Offset 91 + x"FF", -- Addr 7772, Offset 92 + x"FF", -- Addr 7773, Offset 93 + x"FF", -- Addr 7774, Offset 94 + x"FF", -- Addr 7775, Offset 95 + x"FF", -- Addr 7776, Offset 96 + x"ED", -- Addr 7777, Offset 97 + x"E0", -- Addr 7778, Offset 98 + x"E0", -- Addr 7779, Offset 99 + x"F2", -- Addr 7780, Offset 100 + x"FF", -- Addr 7781, Offset 101 + x"DB", -- Addr 7782, Offset 102 + x"2B", -- Addr 7783, Offset 103 + x"07", -- Addr 7784, Offset 104 + x"2B", -- Addr 7785, Offset 105 + x"FF", -- Addr 7786, Offset 106 + x"FF", -- Addr 7787, Offset 107 + x"FF", -- Addr 7788, Offset 108 + x"BE", -- Addr 7789, Offset 109 + x"BE", -- Addr 7790, Offset 110 + x"FF", -- Addr 7791, Offset 111 + x"FA", -- Addr 7792, Offset 112 + x"E4", -- Addr 7793, Offset 113 + x"E0", -- Addr 7794, Offset 114 + x"E9", -- Addr 7795, Offset 115 + x"FB", -- Addr 7796, Offset 116 + x"DB", -- Addr 7797, Offset 117 + x"4F", -- Addr 7798, Offset 118 + x"07", -- Addr 7799, Offset 119 + x"07", -- Addr 7800, Offset 120 + x"B7", -- Addr 7801, Offset 121 + x"FF", -- Addr 7802, Offset 122 + x"FF", -- Addr 7803, Offset 123 + x"DE", -- Addr 7804, Offset 124 + x"5C", -- Addr 7805, Offset 125 + x"5C", -- Addr 7806, Offset 126 + x"DE", -- Addr 7807, Offset 127 + x"FF", -- Addr 7808, Offset 128 + x"ED", -- Addr 7809, Offset 129 + x"E9", -- Addr 7810, Offset 130 + x"FB", -- Addr 7811, Offset 131 + x"FF", -- Addr 7812, Offset 132 + x"73", -- Addr 7813, Offset 133 + x"07", -- Addr 7814, Offset 134 + x"2B", -- Addr 7815, Offset 135 + x"97", -- Addr 7816, Offset 136 + x"FF", -- Addr 7817, Offset 137 + x"FF", -- Addr 7818, Offset 138 + x"FF", -- Addr 7819, Offset 139 + x"7D", -- Addr 7820, Offset 140 + x"5C", -- Addr 7821, Offset 141 + x"5C", -- Addr 7822, Offset 142 + x"DE", -- Addr 7823, Offset 143 + x"FF", -- Addr 7824, Offset 144 + x"FF", -- Addr 7825, Offset 145 + x"FF", -- Addr 7826, Offset 146 + x"FF", -- Addr 7827, Offset 147 + x"DB", -- Addr 7828, Offset 148 + x"4F", -- Addr 7829, Offset 149 + x"2B", -- Addr 7830, Offset 150 + x"DB", -- Addr 7831, Offset 151 + x"FF", -- Addr 7832, Offset 152 + x"FF", -- Addr 7833, Offset 153 + x"FF", -- Addr 7834, Offset 154 + x"BE", -- Addr 7835, Offset 155 + x"5C", -- Addr 7836, Offset 156 + x"5C", -- Addr 7837, Offset 157 + x"9D", -- Addr 7838, Offset 158 + x"FF", -- Addr 7839, Offset 159 + x"FF", -- Addr 7840, Offset 160 + x"FF", -- Addr 7841, Offset 161 + x"FF", -- Addr 7842, Offset 162 + x"FF", -- Addr 7843, Offset 163 + x"93", -- Addr 7844, Offset 164 + x"07", -- Addr 7845, Offset 165 + x"4F", -- Addr 7846, Offset 166 + x"FF", -- Addr 7847, Offset 167 + x"FF", -- Addr 7848, Offset 168 + x"FF", -- Addr 7849, Offset 169 + x"BE", -- Addr 7850, Offset 170 + x"7C", -- Addr 7851, Offset 171 + x"5C", -- Addr 7852, Offset 172 + x"9D", -- Addr 7853, Offset 173 + x"FF", -- Addr 7854, Offset 174 + x"FF", -- Addr 7855, Offset 175 + x"FF", -- Addr 7856, Offset 176 + x"FF", -- Addr 7857, Offset 177 + x"FF", -- Addr 7858, Offset 178 + x"B7", -- Addr 7859, Offset 179 + x"07", -- Addr 7860, Offset 180 + x"07", -- Addr 7861, Offset 181 + x"DB", -- Addr 7862, Offset 182 + x"FF", -- Addr 7863, Offset 183 + x"FF", -- Addr 7864, Offset 184 + x"DE", -- Addr 7865, Offset 185 + x"5C", -- Addr 7866, Offset 186 + x"5C", -- Addr 7867, Offset 187 + x"7D", -- Addr 7868, Offset 188 + x"FF", -- Addr 7869, Offset 189 + x"FF", -- Addr 7870, Offset 190 + x"FF", -- Addr 7871, Offset 191 + x"FF", -- Addr 7872, Offset 192 + x"FF", -- Addr 7873, Offset 193 + x"FF", -- Addr 7874, Offset 194 + x"2B", -- Addr 7875, Offset 195 + x"07", -- Addr 7876, Offset 196 + x"93", -- Addr 7877, Offset 197 + x"FF", -- Addr 7878, Offset 198 + x"FF", -- Addr 7879, Offset 199 + x"DF", -- Addr 7880, Offset 200 + x"7C", -- Addr 7881, Offset 201 + x"5C", -- Addr 7882, Offset 202 + x"9D", -- Addr 7883, Offset 203 + x"FF", -- Addr 7884, Offset 204 + x"FF", -- Addr 7885, Offset 205 + x"FF", -- Addr 7886, Offset 206 + x"FF", -- Addr 7887, Offset 207 + x"FF", -- Addr 7888, Offset 208 + x"FF", -- Addr 7889, Offset 209 + x"FF", -- Addr 7890, Offset 210 + x"B7", -- Addr 7891, Offset 211 + x"73", -- Addr 7892, Offset 212 + x"FF", -- Addr 7893, Offset 213 + x"FF", -- Addr 7894, Offset 214 + x"FF", -- Addr 7895, Offset 215 + x"9D", -- Addr 7896, Offset 216 + x"5C", -- Addr 7897, Offset 217 + x"9D", -- Addr 7898, Offset 218 + x"FF", -- Addr 7899, Offset 219 + x"FF", -- Addr 7900, Offset 220 + x"FF", -- Addr 7901, Offset 221 + x"FF", -- Addr 7902, Offset 222 + x"FF", -- Addr 7903, Offset 223 + x"FF", -- Addr 7904, Offset 224 + x"FF", -- Addr 7905, Offset 225 + x"FF", -- Addr 7906, Offset 226 + x"FF", -- Addr 7907, Offset 227 + x"FF", -- Addr 7908, Offset 228 + x"FF", -- Addr 7909, Offset 229 + x"FF", -- Addr 7910, Offset 230 + x"FF", -- Addr 7911, Offset 231 + x"DF", -- Addr 7912, Offset 232 + x"9D", -- Addr 7913, Offset 233 + x"DF", -- Addr 7914, Offset 234 + x"FF", -- Addr 7915, Offset 235 + x"FF", -- Addr 7916, Offset 236 + x"FF", -- Addr 7917, Offset 237 + x"FF", -- Addr 7918, Offset 238 + x"FF", -- Addr 7919, Offset 239 + x"FF", -- Addr 7920, Offset 240 + x"FF", -- Addr 7921, Offset 241 + x"FF", -- Addr 7922, Offset 242 + x"FF", -- Addr 7923, Offset 243 + x"FF", -- Addr 7924, Offset 244 + x"FF", -- Addr 7925, Offset 245 + x"FF", -- Addr 7926, Offset 246 + x"FF", -- Addr 7927, Offset 247 + x"FF", -- Addr 7928, Offset 248 + x"FF", -- Addr 7929, Offset 249 + x"FF", -- Addr 7930, Offset 250 + x"FF", -- Addr 7931, Offset 251 + x"FF", -- Addr 7932, Offset 252 + x"FF", -- Addr 7933, Offset 253 + x"FF", -- Addr 7934, Offset 254 + x"FF", -- Addr 7935, Offset 255 + + -- Sprite: 31, Basis-Adresse: 7936 + x"FF", -- Addr 7936, Offset 0 + x"FF", -- Addr 7937, Offset 1 + x"FF", -- Addr 7938, Offset 2 + x"FF", -- Addr 7939, Offset 3 + x"FF", -- Addr 7940, Offset 4 + x"FF", -- Addr 7941, Offset 5 + x"FF", -- Addr 7942, Offset 6 + x"FF", -- Addr 7943, Offset 7 + x"FF", -- Addr 7944, Offset 8 + x"FF", -- Addr 7945, Offset 9 + x"FF", -- Addr 7946, Offset 10 + x"FF", -- Addr 7947, Offset 11 + x"FF", -- Addr 7948, Offset 12 + x"FF", -- Addr 7949, Offset 13 + x"FF", -- Addr 7950, Offset 14 + x"FF", -- Addr 7951, Offset 15 + x"FF", -- Addr 7952, Offset 16 + x"FF", -- Addr 7953, Offset 17 + x"FF", -- Addr 7954, Offset 18 + x"FF", -- Addr 7955, Offset 19 + x"FF", -- Addr 7956, Offset 20 + x"FF", -- Addr 7957, Offset 21 + x"FF", -- Addr 7958, Offset 22 + x"FF", -- Addr 7959, Offset 23 + x"FF", -- Addr 7960, Offset 24 + x"FF", -- Addr 7961, Offset 25 + x"FF", -- Addr 7962, Offset 26 + x"FF", -- Addr 7963, Offset 27 + x"FF", -- Addr 7964, Offset 28 + x"FF", -- Addr 7965, Offset 29 + x"FF", -- Addr 7966, Offset 30 + x"FF", -- Addr 7967, Offset 31 + x"FF", -- Addr 7968, Offset 32 + x"FF", -- Addr 7969, Offset 33 + x"FF", -- Addr 7970, Offset 34 + x"FF", -- Addr 7971, Offset 35 + x"F2", -- Addr 7972, Offset 36 + x"F2", -- Addr 7973, Offset 37 + x"FF", -- Addr 7974, Offset 38 + x"FF", -- Addr 7975, Offset 39 + x"FF", -- Addr 7976, Offset 40 + x"FF", -- Addr 7977, Offset 41 + x"FF", -- Addr 7978, Offset 42 + x"FF", -- Addr 7979, Offset 43 + x"FF", -- Addr 7980, Offset 44 + x"FF", -- Addr 7981, Offset 45 + x"FF", -- Addr 7982, Offset 46 + x"FF", -- Addr 7983, Offset 47 + x"FF", -- Addr 7984, Offset 48 + x"FF", -- Addr 7985, Offset 49 + x"FF", -- Addr 7986, Offset 50 + x"F6", -- Addr 7987, Offset 51 + x"E0", -- Addr 7988, Offset 52 + x"E4", -- Addr 7989, Offset 53 + x"FB", -- Addr 7990, Offset 54 + x"FF", -- Addr 7991, Offset 55 + x"FF", -- Addr 7992, Offset 56 + x"FF", -- Addr 7993, Offset 57 + x"FF", -- Addr 7994, Offset 58 + x"FF", -- Addr 7995, Offset 59 + x"FF", -- Addr 7996, Offset 60 + x"FF", -- Addr 7997, Offset 61 + x"FF", -- Addr 7998, Offset 62 + x"FF", -- Addr 7999, Offset 63 + x"FF", -- Addr 8000, Offset 64 + x"FF", -- Addr 8001, Offset 65 + x"FB", -- Addr 8002, Offset 66 + x"E0", -- Addr 8003, Offset 67 + x"E0", -- Addr 8004, Offset 68 + x"E5", -- Addr 8005, Offset 69 + x"FB", -- Addr 8006, Offset 70 + x"FF", -- Addr 8007, Offset 71 + x"93", -- Addr 8008, Offset 72 + x"DB", -- Addr 8009, Offset 73 + x"FF", -- Addr 8010, Offset 74 + x"FF", -- Addr 8011, Offset 75 + x"FF", -- Addr 8012, Offset 76 + x"FF", -- Addr 8013, Offset 77 + x"FF", -- Addr 8014, Offset 78 + x"FF", -- Addr 8015, Offset 79 + x"FF", -- Addr 8016, Offset 80 + x"FF", -- Addr 8017, Offset 81 + x"E9", -- Addr 8018, Offset 82 + x"E0", -- Addr 8019, Offset 83 + x"E4", -- Addr 8020, Offset 84 + x"F6", -- Addr 8021, Offset 85 + x"FF", -- Addr 8022, Offset 86 + x"93", -- Addr 8023, Offset 87 + x"07", -- Addr 8024, Offset 88 + x"4F", -- Addr 8025, Offset 89 + x"FF", -- Addr 8026, Offset 90 + x"FF", -- Addr 8027, Offset 91 + x"FF", -- Addr 8028, Offset 92 + x"FF", -- Addr 8029, Offset 93 + x"FF", -- Addr 8030, Offset 94 + x"FF", -- Addr 8031, Offset 95 + x"FF", -- Addr 8032, Offset 96 + x"ED", -- Addr 8033, Offset 97 + x"E0", -- Addr 8034, Offset 98 + x"E0", -- Addr 8035, Offset 99 + x"F2", -- Addr 8036, Offset 100 + x"FF", -- Addr 8037, Offset 101 + x"DB", -- Addr 8038, Offset 102 + x"2B", -- Addr 8039, Offset 103 + x"07", -- Addr 8040, Offset 104 + x"2B", -- Addr 8041, Offset 105 + x"FF", -- Addr 8042, Offset 106 + x"FF", -- Addr 8043, Offset 107 + x"FF", -- Addr 8044, Offset 108 + x"BE", -- Addr 8045, Offset 109 + x"BE", -- Addr 8046, Offset 110 + x"FF", -- Addr 8047, Offset 111 + x"FA", -- Addr 8048, Offset 112 + x"E4", -- Addr 8049, Offset 113 + x"E0", -- Addr 8050, Offset 114 + x"E9", -- Addr 8051, Offset 115 + x"FB", -- Addr 8052, Offset 116 + x"DB", -- Addr 8053, Offset 117 + x"4F", -- Addr 8054, Offset 118 + x"07", -- Addr 8055, Offset 119 + x"07", -- Addr 8056, Offset 120 + x"B7", -- Addr 8057, Offset 121 + x"FF", -- Addr 8058, Offset 122 + x"FF", -- Addr 8059, Offset 123 + x"DE", -- Addr 8060, Offset 124 + x"5C", -- Addr 8061, Offset 125 + x"5C", -- Addr 8062, Offset 126 + x"DE", -- Addr 8063, Offset 127 + x"FF", -- Addr 8064, Offset 128 + x"ED", -- Addr 8065, Offset 129 + x"E9", -- Addr 8066, Offset 130 + x"FB", -- Addr 8067, Offset 131 + x"FF", -- Addr 8068, Offset 132 + x"73", -- Addr 8069, Offset 133 + x"07", -- Addr 8070, Offset 134 + x"2B", -- Addr 8071, Offset 135 + x"97", -- Addr 8072, Offset 136 + x"FF", -- Addr 8073, Offset 137 + x"FF", -- Addr 8074, Offset 138 + x"FF", -- Addr 8075, Offset 139 + x"7D", -- Addr 8076, Offset 140 + x"5C", -- Addr 8077, Offset 141 + x"5C", -- Addr 8078, Offset 142 + x"DE", -- Addr 8079, Offset 143 + x"FF", -- Addr 8080, Offset 144 + x"FF", -- Addr 8081, Offset 145 + x"FF", -- Addr 8082, Offset 146 + x"FF", -- Addr 8083, Offset 147 + x"DB", -- Addr 8084, Offset 148 + x"4F", -- Addr 8085, Offset 149 + x"2B", -- Addr 8086, Offset 150 + x"DB", -- Addr 8087, Offset 151 + x"FF", -- Addr 8088, Offset 152 + x"FF", -- Addr 8089, Offset 153 + x"FF", -- Addr 8090, Offset 154 + x"BE", -- Addr 8091, Offset 155 + x"5C", -- Addr 8092, Offset 156 + x"5C", -- Addr 8093, Offset 157 + x"9D", -- Addr 8094, Offset 158 + x"FF", -- Addr 8095, Offset 159 + x"FF", -- Addr 8096, Offset 160 + x"FF", -- Addr 8097, Offset 161 + x"FF", -- Addr 8098, Offset 162 + x"FF", -- Addr 8099, Offset 163 + x"93", -- Addr 8100, Offset 164 + x"07", -- Addr 8101, Offset 165 + x"4F", -- Addr 8102, Offset 166 + x"FF", -- Addr 8103, Offset 167 + x"FF", -- Addr 8104, Offset 168 + x"FF", -- Addr 8105, Offset 169 + x"BE", -- Addr 8106, Offset 170 + x"7C", -- Addr 8107, Offset 171 + x"5C", -- Addr 8108, Offset 172 + x"9D", -- Addr 8109, Offset 173 + x"FF", -- Addr 8110, Offset 174 + x"FF", -- Addr 8111, Offset 175 + x"FF", -- Addr 8112, Offset 176 + x"FF", -- Addr 8113, Offset 177 + x"FF", -- Addr 8114, Offset 178 + x"B7", -- Addr 8115, Offset 179 + x"07", -- Addr 8116, Offset 180 + x"07", -- Addr 8117, Offset 181 + x"DB", -- Addr 8118, Offset 182 + x"FF", -- Addr 8119, Offset 183 + x"FF", -- Addr 8120, Offset 184 + x"DE", -- Addr 8121, Offset 185 + x"5C", -- Addr 8122, Offset 186 + x"5C", -- Addr 8123, Offset 187 + x"7D", -- Addr 8124, Offset 188 + x"FF", -- Addr 8125, Offset 189 + x"FF", -- Addr 8126, Offset 190 + x"FF", -- Addr 8127, Offset 191 + x"FF", -- Addr 8128, Offset 192 + x"FF", -- Addr 8129, Offset 193 + x"FF", -- Addr 8130, Offset 194 + x"2B", -- Addr 8131, Offset 195 + x"07", -- Addr 8132, Offset 196 + x"93", -- Addr 8133, Offset 197 + x"FF", -- Addr 8134, Offset 198 + x"FF", -- Addr 8135, Offset 199 + x"DF", -- Addr 8136, Offset 200 + x"7C", -- Addr 8137, Offset 201 + x"5C", -- Addr 8138, Offset 202 + x"9D", -- Addr 8139, Offset 203 + x"FF", -- Addr 8140, Offset 204 + x"FF", -- Addr 8141, Offset 205 + x"FF", -- Addr 8142, Offset 206 + x"FF", -- Addr 8143, Offset 207 + x"FF", -- Addr 8144, Offset 208 + x"FF", -- Addr 8145, Offset 209 + x"FF", -- Addr 8146, Offset 210 + x"B7", -- Addr 8147, Offset 211 + x"73", -- Addr 8148, Offset 212 + x"FF", -- Addr 8149, Offset 213 + x"FF", -- Addr 8150, Offset 214 + x"FF", -- Addr 8151, Offset 215 + x"9D", -- Addr 8152, Offset 216 + x"5C", -- Addr 8153, Offset 217 + x"9D", -- Addr 8154, Offset 218 + x"FF", -- Addr 8155, Offset 219 + x"FF", -- Addr 8156, Offset 220 + x"FF", -- Addr 8157, Offset 221 + x"FF", -- Addr 8158, Offset 222 + x"FF", -- Addr 8159, Offset 223 + x"FF", -- Addr 8160, Offset 224 + x"FF", -- Addr 8161, Offset 225 + x"FF", -- Addr 8162, Offset 226 + x"FF", -- Addr 8163, Offset 227 + x"FF", -- Addr 8164, Offset 228 + x"FF", -- Addr 8165, Offset 229 + x"FF", -- Addr 8166, Offset 230 + x"FF", -- Addr 8167, Offset 231 + x"DF", -- Addr 8168, Offset 232 + x"9D", -- Addr 8169, Offset 233 + x"DF", -- Addr 8170, Offset 234 + x"FF", -- Addr 8171, Offset 235 + x"FF", -- Addr 8172, Offset 236 + x"FF", -- Addr 8173, Offset 237 + x"FF", -- Addr 8174, Offset 238 + x"FF", -- Addr 8175, Offset 239 + x"FF", -- Addr 8176, Offset 240 + x"FF", -- Addr 8177, Offset 241 + x"FF", -- Addr 8178, Offset 242 + x"FF", -- Addr 8179, Offset 243 + x"FF", -- Addr 8180, Offset 244 + x"FF", -- Addr 8181, Offset 245 + x"FF", -- Addr 8182, Offset 246 + x"FF", -- Addr 8183, Offset 247 + x"FF", -- Addr 8184, Offset 248 + x"FF", -- Addr 8185, Offset 249 + x"FF", -- Addr 8186, Offset 250 + x"FF", -- Addr 8187, Offset 251 + x"FF", -- Addr 8188, Offset 252 + x"FF", -- Addr 8189, Offset 253 + x"FF", -- Addr 8190, Offset 254 + x"FF" -- Addr 8191, Offset 255 + ); +end package; diff --git a/src/Scheduler.vhd b/src/Scheduler.vhd deleted file mode 100644 index d776653..0000000 --- a/src/Scheduler.vhd +++ /dev/null @@ -1,144 +0,0 @@ -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; -use ieee.math_real.all; - -entity AXI_Handshaking_Scheduler_4 is - generic ( - G_DataWidth : integer := 32 - ); - port ( - --@ Clock signal; (**Rising edge** triggered) - I_CLK : in std_logic; - --@ Clock enable signal (**Active high**) - I_CE : in std_logic; - --@ Synchronous reset signal (**Active high**) - I_RST : in std_logic; - - --@ @virtualbus P0 @dir in P0 interface - I_P0_Valid : in std_logic := '0'; - O_P0_Ready : out std_logic := '0'; - I_P0_Data : in std_logic_vector(G_DataWidth - 1 downto 0) := (others => '0'); - --@ @end - --@ @virtualbus P1 @dir in P1 interface - I_P1_Valid : in std_logic := '0'; - O_P1_Ready : out std_logic := '0'; - I_P1_Data : in std_logic_vector(G_DataWidth - 1 downto 0) := (others => '0'); - --@ @end - --@ @virtualbus P2 @dir in P2 interface - I_P2_Valid : in std_logic := '0'; - O_P2_Ready : out std_logic := '0'; - I_P2_Data : in std_logic_vector(G_DataWidth - 1 downto 0) := (others => '0'); - --@ @end - --@ @virtualbus P3 @dir in P3 interface - I_P3_Valid : in std_logic := '0'; - O_P3_Ready : out std_logic := '0'; - I_P3_Data : in std_logic_vector(G_DataWidth - 1 downto 0) := (others => '0'); - --@ @end - - --@ @virtualbus Out @dir out Output interface - O_Out_Valid : out std_logic := '0'; - I_Out_Ready : in std_logic := '0'; - O_Out_Data : out std_logic_vector(G_DataWidth - 1 downto 0) := (others => '0'); - O_Out_Address : out std_logic_vector(1 downto 0) := (others => '0') - --@ @end - - ); -end entity AXI_Handshaking_Scheduler_4; - -architecture Rtl of AXI_Handshaking_Scheduler_4 is - signal R_Counter : unsigned(1 downto 0) := (others => '0'); - - signal C_Select : std_logic_vector(3 downto 0) := (others => '0'); - signal C_Code : std_logic_vector(1 downto 0) := (others => '0'); - signal C_CodeReverse : std_logic_vector(1 downto 0) := (others => '0'); -begin - - i_PriorityEncoder_4 : entity work.PriorityEncoder_4 - port map( - I_Select => C_Select, - O_Code => C_Code - ); - - P_SelectMux : process (R_Counter, I_P0_Valid, I_P1_Valid, I_P2_Valid, I_P3_Valid) - begin - if R_Counter = "00" then - C_Select <= I_P0_Valid & I_P1_Valid & I_P2_Valid & I_P3_Valid; - elsif R_Counter = "01" then - C_Select <= I_P1_Valid & I_P2_Valid & I_P3_Valid & I_P0_Valid; - elsif R_Counter = "10" then - C_Select <= I_P2_Valid & I_P3_Valid & I_P0_Valid & I_P1_Valid; - elsif R_Counter = "11" then - C_Select <= I_P3_Valid & I_P0_Valid & I_P1_Valid & I_P2_Valid; - else - C_Select <= (others => '-'); - end if; - end process; - - P_CodeReverse : process (C_Code, R_Counter) - begin - C_CodeReverse <= std_logic_vector(unsigned(C_Code) + R_Counter); - end process; - - P_OutMux : process ( - C_CodeReverse, I_P0_Data, I_P1_Data, I_P2_Data, I_P3_Data, - I_P0_Valid, I_P1_Valid, I_P2_Valid, I_P3_Valid, - I_Out_Ready) - begin - O_Out_Valid <= '0'; - O_P0_Ready <= '0'; - O_P1_Ready <= '0'; - O_P2_Ready <= '0'; - O_P3_Ready <= '0'; - O_Out_Data <= (others => '0'); - O_Out_Address <= C_CodeReverse; - - case C_CodeReverse is - when "00" => - O_Out_Valid <= I_P0_Valid; - O_P0_Ready <= I_Out_Ready; - O_Out_Data <= I_P0_Data; - when "01" => - O_Out_Valid <= I_P1_Valid; - O_P1_Ready <= I_Out_Ready; - O_Out_Data <= I_P1_Data; - when "10" => - O_Out_Valid <= I_P2_Valid; - O_P2_Ready <= I_Out_Ready; - O_Out_Data <= I_P2_Data; - when "11" => - O_Out_Valid <= I_P3_Valid; - O_P3_Ready <= I_Out_Ready; - O_Out_Data <= I_P3_Data; - when others => - null; - end case; - end process; - - P_Counter : process (I_CLK) - begin - if rising_edge(I_CLK) then - if I_CE = '1' then - if I_RST = '1' then - R_Counter <= (others => '0'); - else - if I_Out_Ready = '1' then - - case C_CodeReverse is - when "00" => - R_Counter <= "01"; - when "01" => - R_Counter <= "10"; - when "10" => - R_Counter <= "11"; - when "11" => - R_Counter <= "00"; - when others => - R_Counter <= "00"; - end case; - end if; - end if; - end if; - end if; - end process P_Counter; -end architecture; diff --git a/src/SpriteChannel.ucf b/src/SpriteChannel.ucf new file mode 100644 index 0000000..72e670e --- /dev/null +++ b/src/SpriteChannel.ucf @@ -0,0 +1,5 @@ +# TEMPERATURE = 50 C; + +NET I_CLK LOC = B8; +NET I_CLK TNM_NET = CLOCK; +TIMESPEC TS_CLOCK = PERIOD CLOCK 230 MHz HIGH 50 %; \ No newline at end of file diff --git a/src/SpriteChannel.vhd b/src/SpriteChannel.vhd new file mode 100644 index 0000000..f3bdd18 --- /dev/null +++ b/src/SpriteChannel.vhd @@ -0,0 +1,232 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; +use ieee.math_real.all; + +entity SpriteChannel is + generic ( + --@ Data width of the operation data. + G_OPCodeData_Width : integer := 10; + --@ Width of the sprite index (Base address) register + G_Index_Width : integer := 5; + --@ Width of the sprite offset (Line address) register + G_Offset_Width : integer := 8; + --@ Width of the X position (Row) register + G_X_Width : integer := 10; + --@ Width of the Y position (Line) register + G_Y_Width : integer := 10; + --@ The height of the sprite in pixels + G_Sprite_Height : integer := 16; + --@ The width of the sprite in pixels + G_Sprite_Width : integer := 16 + ); + port ( + --@ Clock signal; **Rising edge** triggered + I_CLK : in std_logic; + --@ Clock Enable signal + I_CE : in std_logic; + --@ Synchronous reset signal + I_RST : in std_logic; + + --@ @virtualbus Operation-Write @dir in Operation Write Interface + --@ Indicates if the `OPCode` and `OPData` are valid. (**Active high**) + I_OP_Valid : in std_logic := '0'; + --@ Indicates if the decoder is ready to accept data. (**Active high**) + O_OP_Ready : out std_logic := '0'; + --@ Operation code for the sprite channel + I_OP_Code : in std_logic_vector(3 downto 0) := (others => '0'); + --@ Data to be used with the operation code. + I_OP_Data : in std_logic_vector(G_OPCodeData_Width - 1 downto 0) := (others => '0'); + --@ @end + + --@ @virtualbus Pixel-Data @dir Out Pixel data output bus + --@ AXI like valid; (**Synchronous**, **Active high**) + O_Pixel_Valid : out std_logic; + --@ AXI like ready; (**Synchronous**, **Active high**) + I_Pixel_Ready : in std_logic; + --@ Pixel data + O_Pixel_Data : out std_logic_vector(7 downto 0) + --@ @end + + ); +end entity; + +architecture RTL of SpriteChannel is + signal R_Index : std_logic_vector(G_Index_Width - 1 downto 0) := (others => '0'); + signal R_Offset : std_logic_vector(G_Offset_Width - 1 downto 0) := (others => '0'); + signal R_X : std_logic_vector(G_X_Width - 1 downto 0) := (others => '0'); + signal R_Y : std_logic_vector(G_Y_Width - 1 downto 0) := (others => '0'); + signal R_IsVisible : std_logic := '0'; + + signal OI_P0_Address_Valid : std_logic := '0'; + signal IO_P0_Address_Ready : std_logic := '0'; + signal OI_P0_Address : std_logic_vector(G_Index_Width + G_Offset_Width - 1 downto 0) := (others => '0'); + + signal IO_P0_Data_Valid : std_logic := '0'; + signal OI_P0_Data_Ready : std_logic := '0'; + signal IO_P0_Data : std_logic_vector(7 downto 0) := (others => '0'); + + signal OI_Register_Index_WE : std_logic := '0'; + signal OI_Register_Index : std_logic_vector(G_Index_Width - 1 downto 0) := (others => '0'); + signal OI_Register_Offset_WE : std_logic := '0'; + signal OI_Register_Offset : std_logic_vector(G_Offset_Width - 1 downto 0) := (others => '0'); + signal OI_Register_X_We : std_logic := '0'; + signal OI_Register_X : std_logic_vector(G_X_Width - 1 downto 0) := (others => '0'); + signal OI_Register_Y_WE : std_logic := '0'; + signal OI_Register_Y : std_logic_vector(G_Y_Width - 1 downto 0) := (others => '0'); + signal OI_IsVisible_WE : std_logic := '0'; + signal OI_IsVisible : std_logic := '0'; + + signal I_YHitCheck_Ready : std_logic := '0'; + signal I_YHitCheck_Valid : std_logic := '0'; + signal I_YHitCheck_IsVisible : std_logic := '0'; + signal I_YHitCheck_Offset : std_logic_vector(G_Offset_Width - 1 downto 0) := (others => '0'); + signal O_YHitCheck_Valid : std_logic := '0'; + signal O_YHitCheck_YToCheck : std_logic_vector(G_Y_Width - 1 downto 0) := (others => '0'); + signal O_YHitCheck_Ready : std_logic := '0'; + + signal OI_CalcPipeline_Valid : std_logic := '0'; + signal IO_CalcPipeline_Ready : std_logic := '0'; + signal OI_CalcPipeline_X_Request : std_logic_vector(G_X_Width - 1 downto 0) := (others => '0'); +begin + i_RegisterFile : entity work.RegisterFile + generic map( + G_Index_Width => G_Index_Width, + G_Offset_Width => G_Offset_Width, + G_X_Width => G_X_Width, + G_Y_Width => G_Y_Width + ) + port map( + I_CLK => I_CLK, + I_CE => I_CE, + I_RST => I_RST, + I_Index_WE => OI_Register_Index_WE, + I_Index => OI_Register_Index, + I_Offset_WE => OI_Register_Offset_WE, + I_Offset => OI_Register_Offset, + I_X_We => OI_Register_X_We, + I_X => OI_Register_X, + I_Y_WE => OI_Register_Y_WE, + I_Y => OI_Register_Y, + I_IsVisible_WE => OI_IsVisible_WE, + I_IsVisible => OI_IsVisible, + O_Index => R_Index, + O_Offset => R_Offset, + O_X => R_X, + O_Y => R_Y, + O_IsVisible => R_IsVisible + ); + + i_OPDecoder : entity work.OPDecoder + generic map( + G_OPCodeData_Width => G_OPCodeData_Width, + G_Index_Width => G_Index_Width, + G_Offset_Width => G_Offset_Width, + G_X_Width => G_X_Width, + G_Y_Width => G_Y_Width + ) + port map( + I_CLK => I_CLK, + I_CE => I_CE, + I_RST => I_RST, + I_OP_Valid => I_OP_Valid, + O_OP_Ready => O_OP_Ready, + I_OP_Code => I_OP_Code, + I_OP_Data => I_OP_Data, + O_Register_Index_WE => OI_Register_Index_WE, + O_Register_Index => OI_Register_Index, + O_Register_Offset_WE => OI_Register_Offset_WE, + O_Register_Offset => OI_Register_Offset, + O_Register_X_We => OI_Register_X_We, + O_Register_X => OI_Register_X, + O_Register_Y_WE => OI_Register_Y_WE, + O_Register_Y => OI_Register_Y, + O_IsVisible_WE => OI_IsVisible_WE, + O_IsVisible => OI_IsVisible, + + I_IsVisible => R_IsVisible, + + I_YHitCheck_Ready => I_YHitCheck_Ready, + O_YHitCheck_Valid => O_YHitCheck_Valid, + O_YHitCheck_YToCheck => O_YHitCheck_YToCheck, + O_YHitCheck_Ready => O_YHitCheck_Ready, + I_YHitCheck_Valid => I_YHitCheck_Valid, + I_YHitCheck_IsVisible => I_YHitCheck_IsVisible, + I_YHitCheck_Offset => I_YHitCheck_Offset, + + O_HSpritePipeline_Valid => OI_CalcPipeline_Valid, + I_HSpritePipeline_Ready => IO_CalcPipeline_Ready, + O_HSpritePipeline_X_Request => OI_CalcPipeline_X_Request + ); + + i_YHitCheck : entity work.VerticalSpritePipeline + generic map( + G_Y_Width => G_Y_Width, + G_Sprite_Height => G_Sprite_Height, + G_Offset_Width => G_Offset_Width, + G_PipelineStages => 2 + ) + port map( + I_CLK => I_CLK, + I_CE => I_CE, + O_Ready => I_YHitCheck_Ready, + I_Valid => O_YHitCheck_Valid, + I_YToCheck => O_YHitCheck_YToCheck, + I_Y => R_Y, + I_Ready => O_YHitCheck_Ready, + O_Valid => I_YHitCheck_Valid, + O_IsVisible => I_YHitCheck_IsVisible, + O_Offset => I_YHitCheck_Offset + ); + + i_Rom : entity work.Rom + generic map( + G_Address_Width => 13, + G_Data_Width => 8, + G_P0_BufferStages => 1, + G_P0_ID_Width => 0, + G_P1_BufferStages => 0, + G_P1_ID_Width => 0, + G_RomType => "Block" + ) + port map( + I_CLK => I_CLK, + I_CE => I_CE, + I_P0_Address_Valid => OI_P0_Address_Valid, + O_P0_Address_Ready => IO_P0_Address_Ready, + I_P0_Address => OI_P0_Address, + O_P0_Data_Valid => IO_P0_Data_Valid, + I_P0_Data_Ready => OI_P0_Data_Ready, + O_P0_Data => IO_P0_Data + ); + + i_CalcPipeline : entity work.HorizontalSpritePipeline + generic map( + G_Index_Width => G_Index_Width, + G_Offset_Width => G_Offset_Width, + G_X_Width => G_X_Width, + G_Rom_Width => 8, + G_Pixel_Width => 8 + ) + port map( + I_CLK => I_CLK, + I_CE => I_CE, + I_RST => I_RST, + I_OP_Valid => OI_CalcPipeline_Valid, + O_OP_Ready => IO_CalcPipeline_Ready, + I_OP_X_Request => OI_CalcPipeline_X_Request, + I_OP_Index => R_Index, + I_OP_Offset => R_Offset, + I_OP_X_Sprite => R_X, + O_Rom_Valid => OI_P0_Address_Valid, + I_Rom_Ready => IO_P0_Address_Ready, + O_Rom_Address => OI_P0_Address, + I_Rom_Valid => IO_P0_Data_Valid, + O_Rom_Ready => OI_P0_Data_Ready, + I_Rom_Data => IO_P0_Data, + O_Pixel_Valid => O_Pixel_Valid, + I_Pixel_Ready => I_Pixel_Ready, + O_Pixel_Data => O_Pixel_Data + ); + +end architecture RTL; diff --git a/src/VerticalSpritePipeline.vhd b/src/VerticalSpritePipeline.vhd new file mode 100644 index 0000000..ae1965f --- /dev/null +++ b/src/VerticalSpritePipeline.vhd @@ -0,0 +1,162 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; +use ieee.math_real.all; +use work.SpriteRom.all; + +entity VerticalSpritePipeline is + generic ( + --@ Width of the Y position (Line) register + G_Y_Width : integer := 10; + --@ The height of the sprite in pixels + G_Sprite_Height : integer := 16; + --@ Width of the sprite offset (Line address) register + G_Offset_Width : integer := 8; + --@ The pipeline stages for the calculating pipeline (multiply by 2 for the the latency of the pipeline) + G_PipelineStages : integer := 2 + ); + port ( + --@ Clock signal; (**Rising edge** triggered) + I_CLK : in std_logic := '0'; + --@ Clock enable signal (**Active high**) + I_CE : in std_logic := '1'; + + --@ @virtualbus YHitCheck-Input-Interface @dir in YHitCheck Input Interface + --@ Indicates if the pipeline is ready to accept data. (**Active high**) + O_Ready : out std_logic := '0'; + --@ Indicates if the pipeline is valid. (**Active high**) + I_Valid : in std_logic := '0'; + --@ The line to check if the sprite is in the line visible. + I_YToCheck : in std_logic_vector(G_Y_Width - 1 downto 0) := (others => '0'); + --@ The sprite Y position to check if the sprite is in the line visible. + I_Y : in std_logic_vector(G_Y_Width - 1 downto 0) := (others => '0'); + --@ @end + + --@ @virtualbus YHitCheck-Output-Interface @dir out YHitCheck Output Interface + --@ Indicates if the pipeline is ready to deliver data. (**Active high**) + I_Ready : in std_logic := '0'; + --@ Indicates if `O_IsVisible` is valid. (**Active high**) + O_Valid : out std_logic := '0'; + --@ Indicates if the sprite is visible in the line. + O_IsVisible : out std_logic := '0'; + --@ The calculated offset address of the sprite. + O_Offset : out std_logic_vector(G_Offset_Width - 1 downto 0) := (others => '0') + --@ @end + ); +end entity VerticalSpritePipeline; + +architecture Rtl of VerticalSpritePipeline is + --@ Line to check if the sprite is in the line visible + signal R_YToCheck : std_logic_vector(G_Y_Width - 1 downto 0) := (others => '0'); + --@ The sprite Y position to check if the sprite is in the line visible + signal R_SpriteY : std_logic_vector(G_Y_Width - 1 downto 0) := (others => '0'); + + --@ Calculated visibility signal + signal C_IsVisible : std_logic := '0'; + --@ The calculated offset address of the sprite + signal C_Offset : std_logic_vector(G_Offset_Width - 1 downto 0) := (others => '0'); + + --@ Pipeline enable signal + signal S_CalculatingPipeline_Enable : std_logic := '0'; +begin + + --@ Pipeline controller for the calculating pipeline + I_CalculatingPipelineCtrl : entity work.PipelineController + generic map( + G_PipelineStages => G_PipelineStages * 2 + ) + port map( + I_CLK => I_CLK, + I_CE => I_CE, + O_Enable => S_CalculatingPipeline_Enable, + I_Valid => I_Valid, + O_Ready => O_Ready, + O_Valid => O_Valid, + I_Ready => I_Ready + ); + + --@ Input register for the Y position of the sprite + I_Y_InputRegister : entity work.PipelineRegister + generic map( + G_PipelineStages => G_PipelineStages, + G_Width => G_Y_Width, + G_RegisterBalancing => "forward" + ) + port map( + I_CLK => I_CLK, + I_Enable => S_CalculatingPipeline_Enable, + I_Data => I_Y, + O_Data => R_SpriteY + ); + + --@ Input register for the line to check if the sprite is in the line visible + I_YToCheck_InputRegister : entity work.PipelineRegister + generic map( + G_PipelineStages => G_PipelineStages, + G_Width => G_Y_Width, + G_RegisterBalancing => "forward" + ) + port map( + I_CLK => I_CLK, + I_Enable => S_CalculatingPipeline_Enable, + I_Data => I_YToCheck, + O_Data => R_YToCheck + ); + + --@ Combinatory process to calculate the visibility and offset of the sprite. + P_CalculateVisibility : process (R_SpriteY, R_YToCheck) + variable V_SpriteY : unsigned(R_SpriteY'range); + variable V_YToCheck : unsigned(R_YToCheck'range); + variable V_SpriteYBottom : unsigned(R_SpriteY'range); + variable V_OffsetLine : integer; + variable V_Offset : unsigned(C_Offset'range); + begin + V_SpriteY := unsigned(R_SpriteY); + V_YToCheck := unsigned(R_YToCheck); + V_SpriteYBottom := V_SpriteY + to_unsigned(G_Sprite_Height - 1, R_SpriteY'length); + + if V_YToCheck >= V_SpriteY and V_YToCheck <= V_SpriteYBottom then + C_IsVisible <= '1'; + else + C_IsVisible <= '0'; + end if; + + V_OffsetLine := to_integer(V_YToCheck - V_SpriteY); + -- pragma translate_off + if V_OffsetLine < 0 or V_OffsetLine >= K_SPRITE_ROW_OFFSETS'length then + V_OffsetLine := 0; + end if; + -- pragma translate_on + + V_Offset := to_unsigned(K_SPRITE_ROW_OFFSETS(V_OffsetLine), C_Offset'length); + C_Offset <= std_logic_vector(V_Offset); + end process; + + --@ Output register for the visibility of the sprite + I_IsVisible_OutputRegister : entity work.PipelineRegister + generic map( + G_PipelineStages => G_PipelineStages, + G_Width => 1, + G_RegisterBalancing => "backward" + ) + port map( + I_CLK => I_CLK, + I_Enable => S_CalculatingPipeline_Enable, + I_Data(0) => C_IsVisible, + O_Data(0) => O_IsVisible + ); + + --@ Output register for the offset of the sprite + I_Offset_OutputRegister : entity work.PipelineRegister + generic map( + G_PipelineStages => G_PipelineStages, + G_Width => G_Offset_Width, + G_RegisterBalancing => "backward" + ) + port map( + I_CLK => I_CLK, + I_Enable => S_CalculatingPipeline_Enable, + I_Data => C_Offset, + O_Data => O_Offset + ); +end architecture;